VHDL

May 8, 2017 | Author: Nishant Gupta | Category: N/A
Share Embed Donate


Short Description

contains VHDL code, with testbench and waveforms for some experiments....

Description

VHDL PRACTICAL FILE

NISHANT GUPTA 064/EC/08

INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. In the mid-1980’s the U.S. Department of Defense and the IEEE sponsored the development of this hardware description language with the goal to develop very high-speed integrated circuit. It has become now one of industry’s standard languages used to describe digital systems. The other widely used hardware description language is Verilog. Both are powerful languages that allow you to describe and simulate complex digital systems. A third HDL language is ABEL (Advanced Boolean Equation Language) which was specifically designed for Programmable Logic Devices (PLD). ABEL is less powerful than the other two languages and is less popular in industry. This tutorial deals with VHDL, as described by the IEEE standard 1076-1993. Although these languages look similar as conventional programming languages, there are some important differences. A hardware description language is inherently parallel, i.e. commands, which correspond to logic gates, are executed (computed) in parallel, as soon as a new input arrives. A HDL program mimics the behavior of a physical, usually digital, system. It also allows incorporation of timing specifications (gate delays) as well as to describe a system as an interconnection of different components. VHDL allows one to describe a digital system at the structural or the behavioral level. The behavioral level can be further divided into two kinds of styles: Data flow and Algorithmic. The dataflow representation describes how data moves through the system. This is typically done in terms of data flow between registers (Register Transfer level). The data flow model makes use of concurrent statements that are executed in parallel as soon as data arrives at the input. On the other hand, sequential statements are executed in the sequence that they are specified. VHDL allows both concurrent and sequential signal assignments that will determine the manner in which they are executed. Examples of both representations will be given later.VHDL is commonly used to write text

models that describe a logic circuit. Such a model is processed by a synthesis program, only if it is part of the logic design. A simulation program is used to test the logic design using simulation models to represent the logic circuits that interface to the design. This collection of simulation models is commonly called a testbench. VHDL has file input and output capabilities, and can be used as a general-purpose language for text processing, but files are more commonly used by a simulation testbench for stimulus or verification data. There are some VHDL compilers which build executable binaries. In this case, it might be possible to use VHDL to write a testbench to verify the functionality of the design using files on the host computer to define stimuli, to interact with the user, and to compare results with those expected. However, most designers leave this job to the simulator. VHDL is not a case sensitive language. One can design hardware in a VHDL IDE (for FPGA implementation such as Xilinx ISE, Altera Quartus, or Synopsys Synplify) to produce the RTL schematic of the desired circuit. After that, the generated schematic can be verified using simulation software which shows the waveforms of inputs and outputs of the circuit after

generating the appropriate testbench. To generate an appropriate testbench for a particular circuit or VHDL code, the inputs have to be defined correctly. For example, for clock input, a loop process or an iterative statement is required. The key advantage of VHDL when used for systems design is that it allows the behavior of the required system to be described (modeled) and verified (simulated) before synthesis tools translate the design into real hardware (gates and wires). Another benefit is that VHDL allows the description of a concurrent system (many parts, each with its own sub-behaviour, working together at the same time). VHDL is a Dataflow language, unlike procedural computing languages such as BASIC, C, and assembly. VHDL is a specific type of hardware description language or HDL. There are many other examples of HDLs but the other one commonly used is called Verilog. The actual name VHDL is a acronym that stands for "VHSIC Hardware Description Language". This article contains information on the rudimentary topics that can be considered necessary to getting started with VHDL. Additionally there are code examples to illustrate the concepts covered more clearly. VHDL is a programming language, much like C++, it has its own syntax and semantics. The big difference from what is considered a traditional programing language is that instead of describing what instructions a processor will execute, it describes how circuits should be organized. As it is emulating real hardware it is inherently parallel and also treats timing as important. This language is a commonly used in the design of field-programmable gate arrays(FPGA)and application specific integrated circuits(ASIC). What hardware description languages provide is a way to run simulations, check the logic of the code, and something called logic synthesis. Logic synthesis is simply the translation of the language in to a physical circuit implementation. This allows for a much easier and less error prone hardware development process.

EXPERIMENT NO.1 Write a program to show the output of various gates (AND, OR, NOR,NOT,XOR,NAND)

entity gate is port(x,y:in BIT; outa,outb,outc,outd,oute,outf:out BIT); end entity; architecture gate_arch of gate is begin outa
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF