Verilog HDL Lab Manual

December 17, 2016 | Author: Parag Parandkar | Category: N/A
Share Embed Donate


Short Description

Download Verilog HDL Lab Manual...

Description

Verilog HDL Lab Manual

Dated: 29/04/2011

FPGA DESIGN FLOW 8.1 Programmable Logic Design Flow Design Specifications Design Entry Functional Simulation (Zero Delay)

T E S T B E N C H

Gate level Simulation

RTL Model

Synthesis Gate level description using target library cells

Target Device Libraries (Vender Specific) Design Constraints Area / Speed

Gate level Model Timing Simulation (Gate + Interconnect Delays)

Mapping + Translation Gate level model to device architecture Place and Route Placing the design in device while optimizing it for speed and area

Libraries (Simprims and Unisims)

Target Device Libraries (Vender Specific) Design Constraints Area / Speed

Programming file generation Bit Stream Download onto FPGA/ CPLD

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

FPGA Design Flow for Xilinx The Design flow followed by Xilinx devices is as shown as under:

Xilinx FPGAs are reprogrammable and when combined with an HDL design flow can greatly reduce the design and verification cycle.

Broadly the stages can be categorized as: Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

1.

2. 3. 4.

Verilog HDL Lab Manual Dated: 29/04/2011 Design Entry may have two alternatives: a) Performing HDL coding for synthesis as the target.( Xilinx HDL Editor). b) Using Cores(Xilinx Core Generator). Functional Simulation of synthesizable HDL code (MTI ModelSim). Design Synthesis ( Xilinx project navigator). Design Implementation (Xilinx Design Manager).

The stages are linked as follows:

VERILOG HDL/Verilog Code Design Entry Functional Simulation

Synthesis

Post Synthesis Simulation

Implementation

Timing Simulation

Program onto FPGA

Design Entry The first stage of Xilinx design flow is a design entry process. A design must be specified by using either a schematic editor or HDL text-based tool.

Functional Simulation Upon the finish of the design entry stage, the functional simulation of the design is being performed, which is used to verify functionality of the design assuming no delays, whatsoever. This assumes no target technology selection at this stage and hence assumes zero delay in simulation. Complex designs must be intensively simulated, at different simulation points, during the design flow. Simulation verifies the operation of the design before it is actually implemented as hardware. One of the most prevalent methods for simulation is testbenching. Testbenches (VERILOG HDL) or text fixtures (Verilog) are used to specify circuit stimuli and responses. Roughly, simulation can be divided as functional and timing simulation. Primarily, the functional simulation verifies that the design’s specifications are correctly understood and coded. Timing information, produced Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual Dated: 29/04/2011 during the device implementation stage, is not available during the functional simulation. Functional simulation can be used after synthesis, too. Comparison between the pre- and post-synthesis simulations’ results checks the results of the HDL compiler’s work and the HDL code’s correctness. Timing simulation operates with the real delays (results of device implementation) and is used for verification of implemented design. Timing data are given in an .sdf file (Standard Delay Format). Xilinx supports functional and timing simulations at different points of the design flow: Ø Register Transfer Level (RTL) simulation. Ø Post-synthesis functional simulation (Pre-NGDBuild). Ø Post-implementation back-annotated timing simulation.

Design Synthesis After this process, the synthesis is performed. Here for the first time in the design flow the target technology (choice of a particular FPGA device family) is being performed. This target technology selection will remain the same, henceforth in the design flow, upto the final implementation stage, where finally generated Bit stream file gets downloaded onto that FPGA. The output of the synthesis process is creation of gate level netlist. This refers to the EDIF implementation netlist of the FPGA design. Besides the EDIF implementation netlist, the XNF (Xilinx netlist format) netlist can be used as well. Although the XNF is now becoming rather obsolete. The EDIF netlist is used as an input file to the Xilinx Implementation tool and specifies how the core will be implemented. The Electronic Design Interchange Format (EDIF) is a format used to exchange design data between different CAD systems. In the world of FPGA design, it is used for interchange of data between different EDA (Electronic Design Automation) software tools. EDIF files are used for FPGA implementation only. They are the result of design synthesis and can be generated from different design entry EDA tools: schematic or HDL design tools. EDIF files are inputs to the Xilinx implementation tools during the translation step (NGDBuild).

Design Implementation Design Implementation includes the following steps: i) Translate ii) Map iii) Place and Route In the Translate step, which is the first step in the implementation process, EDIF netlist must be further converted into Native Generic Database file (NGD), by means of a program called NGDBuild. The NGD file resulting from an NGDBuild run contains the logical description of the design that can be mapped into a targeted Xilinx FPGA device family. It is important to stress that NGDBuild merges all available EDIF netlists from the working directory. This is actually the step where the black-box netlist becomes merged with the rest of FPGA design. In the next stage, the Map stage, the NGD file is an input into a MAP program that maps logical design to a Xilinx FPGA. The output of the MAP program is an NCD (Native Circuit Description) file. The NCD is a physical representation of the design mapped to the components of internal FPGA architecture. The mapped design is ready to be placed and routed. The PAR program does this job. The input to PAR is a mapped (not routed) NCD file, while the output is a fully routed NCD file. Review reports are generated by the Implement Design process, such as the Map Report or Place & Route Report, and change any of the following to improve your design: Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual Dated: 29/04/2011 Ø Process properties Ø Constraints Ø Source files Synthesis and again implementation of the design is being made until design requirements are met. Timing verification of the design can be made at different points in the design flow as follows: i) Run static timing analysis at the following points in the design flow: Ø After Map. Ø After Place and Route. ii) Running Timing Simulations at the following points in the design flow: Ø After Map (for a partial timing analysis of CLB and IOB delays). Ø After Place and Route (for full timing analysis of block and net delays).

Program onto FPGA Programming on the Xilinx device can be made as follows: Ø Creation of a programming file (BIT) to program FPGA. Ø Generate a PROM, ACE, JTAG file for debugging or to download to the device. Ø Use iMPACT to program the device through programming cable. Xilinx FPGA, as an SRAM-based programmable PLD, must be configured with the configuration bitstream. The configuration bitstream is generated from the fully routed NCD file, by means of a BitGen program. The output of BitGen is a binary file with the .BIT extension that can be formatted for different PROM devices.

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 1 Simulation using all the modeling styles and Synthesis of all the logic gates using Verilog HDL AIM: Perform Zero Delay Simulation of all the logic gates written in behavioral, dataflow and structural modeling style in Verilog using a Test bench. then, Synthesize each one of them on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram:

And, Nand, Or, Nor, Xor, Xnor

A

C

B

Truth table: And Gate: A 0 0 1 1

B 0 1 0 1

Y 0 0 0 1

Or Gate: A 0 0 1 1

B 0 1 0 1

Y 0 1 1 1

Nand Gate: A 0 0 1 1

B 0 1 0 1

Y 1 1 1 0

Nor Gate: A 0 0 1 1

B 0 1 0 1

Y 1 0 0 0

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual Xor Gate: A B 0 0 0 1 1 0 1 1

Dated: 29/04/2011

Xnor Gate: A B Y 0 0 1 0 1 0 1 0 0 1 1 1

Y 0 1 1 0

Boolean Equation: And Gate: Y = (A.B) Nand Gate: Y = (A.B)’ Xor Gate: Y = A.B’ + A’.B

Or Gate: Y = (A + B) Nor Gate: Y = (A+B)’ Xnor Gate: Y = A.B + A’.B’

Verilog Code (In different modeling styles): And Gate (In Dataflow, behavioral Modeling): Module andg(a,b,c); input a,b; output c; assign c = a & b; endmodule Module andg1(a,b,c); input a,b; always(a,b) begin if (a==1’b0 or b == 1’b0) c = 1’b0; else if (a==1’b0 or b == 1’b1) c = 1’b0; else if (a==1’b1 or b == 1’b0) c = 1’b0; else if (a==1’b1 or b == 1’b1) c = 1’b1; end endmodule

Or gate(Dataflow, behavioral modeling): Module org (a,b,c); input a,b; output c; assign c = a | b; endmodule

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Nand Gate (In Dataflow modeling): Module nandg (a,b,c); input a,b; output c; assign c = ~(a & b); endmodule Nor Gate (In Dataflow modeling): Module norg (a,b,c); input a,b; output c; assign c = ~(a | b); endmodule

Xor gate(In Dataflow modeling): Module xorg (a,b,c); input a,b; output c; assign c = a ^ b; endmodule Module xorg2 (a,b,c); input a,b; output c; assign c = (~a & b) | (a & ~b); endmodule

Xnor Gate (In Dataflow modeling): Module xnorg (a,b,c); input a,b; output c; assign c = ~(a ^ b); endmodule

Test Bench (Applicable to all the logic gates): module nandg_tst_v; reg a; reg b; wire c; nandg uut (

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

.a(a), .b(b), .c(c) ); initial begin a = 0; b = 0; #100 a = 0; b = 1; #100 a = 1; b = 0; #100 a = 1; b = 1; end endmodule

Simulation Waveform: Nand Gate:

Synthesis (Xor gate): Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EDA Tool Name: Xilinx Project Navigator – 8.1

Synthesis Report (Xilinx project Navigator): =============================================================== * Synthesis Options Summary * =============================================================== ---- Source Parameters Input File Name : "xorg.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name Output Format Target Device

: "xorg" : NGC : xc3s50-5-pq208

* Final Report * =============================================================== Final Results RTL Top Level Output File Name : xorg.ngr Top Level Output File Name : xorg Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual Design Statistics # IOs

Dated: 29/04/2011

:3

Cell Usage : # BELS :1 # LUT2 :1 # IO Buffers :3 # IBUF :2 # OBUF :1 ========================================================================= Device utilization summary: --------------------------Selected Device : 3s50pq208-5 Number of Slices: Number of 4 input LUTs: Number of IOs: Number of bonded IOBs:

1 out of 768 0% 1 out of 1536 0% 3 3 out of 124 2%

Timing Summary: --------------Speed Grade: -5 Minimum period: No path found Minimum input arrival time before clock: No path found Maximum output required time after clock: No path found Maximum combinational path delay: 7.760ns

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 2 Simulation using all the modeling styles and Synthesis of 1-bit half adder and 1-bit Full adder using verilog HDL AIM: Perform Zero Delay Simulation of 1-bit half adder and 1-bit Full adder written in behavioral, dataflow and structural modeling style in VERILOG HDL using a Test bench. Then, Synthesize each one of them on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram: 1-bit Half Adder:

A

Half Adder (1-bit)

Sum Carry

B

1-bit Full Adder: A B

Full Adder (1-bit)

Sum Cout

Cin

Truth table: Half Adder:

A 0 0 1 1

B 0 1 0 1

Sum 0 1 1 0

Carry 0 0 0 1 Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

Full Adder:

A 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

Cin 0 1 0 1 0 1 0 1

Sum 0 1 1 0 1 0 0 1

Cout 0 0 0 1 0 1 1 1 Boolean Equation:

Half Adder: Sum = A B Carry = A.B Full Adder: Sum = A B Cin Cout = A.B + A.Cin + B.Cin

VERILOG HDL Code: Half Adder (Using dataflow, Behavioral Modeling): module ha(a, b, s, co); input a; input b; output s; output co; assign s = a ^ b; assign co = a &b; endmodule module ha1(a, b, s, co); input a; input b; output s; output co; reg s,co; always @(a or b) begin s = a ^ b; co = a &b; end endmodule

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Full Adder (Using dataflow, Behavioral Modeling, Structural Modeling): module fa(a, b, cin, sum, cout); input a; input b; input cin; output sum; output cout; assign sum = a ^ b ^ cin; assign cout = (a& b) |(b & cin) |(a & cin); endmodule module fa1(a, b, cin, sum, cout); input a; input b; input cin; output sum; output cout; reg sum,cout; always @(a or b or cin) begin case ({a,b,cin}) 3'b000: begin sum = 1'b0; cout = 1'b0; end 3'b001: begin sum = 1'b1; cout = 1'b0; end 3'b010: begin sum = 1'b1; cout = 1'b0; end 3'b011: begin sum = 1'b0; cout = 1'b1; end 3'b100: begin sum = 1'b1; cout = 1'b0; end 3'b101: begin sum = 1'b0; cout = 1'b1; end 3'b110: begin

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

sum = 1'b0; cout = 1'b1; end 3'b111: begin sum = 1'b1; cout = 1'b1; end default: begin sum = 1'b0; cout = 1'b0; end endcase end endmodule module fa2(a, b, cin, sum, cout); input a; input b; input cin; output sum; output cout; wire w1, w2, w3; ha ha_i1 (.a(a), .b(b), .s(w1), .co(w3) ); ha ha_i2 (.a(w1), .b(cin), .s(sum), .co(w2) ); org org_i (.a(w2), .b(w3), .c(cout) ); endmodule

VERILOG HDL Test Bench: Half Adder: module ha_tst_v; reg a; reg b; wire s;

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

wire co; ha1 uut ( .a(a), .b(b), .s(s), .co(co) ); initial begin a = 0; b = 0; #100 a = 0; b = 1; #100 a = 1; b = 0; #100 a = 1; b = 1; end endmodule; Full Adder: module fa_tst_v; reg a; reg b; reg cin; wire sum; wire cout; fa uut ( .a(a), .b(b), .cin(cin), .sum(sum), .cout(cout) ); initial begin a = 0; b = 0; cin = 0; #100 a = 0; b = 0; cin = 1; #100 a = 0; b = 1; cin = 0; #100 a = 0;

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

b = 1; cin = 1; #100 a = 1; b = 0; cin = 0; #100 a = 1; b = 0; cin = 1; #100 a = 1; b = 1; cin = 0; #100 a = 1; b = 1; cin = 1; end endmodule

Simulation Waveform: Half Adder:

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Full Adder:

Synthesis: Half Adder: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Full Adder: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Synthesis Report (Xilinx Project Navigator): Full Adder: ======================================================* Summary * ---- Source Parameters Input File Name : "fa2.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name Output Format Target Device

Synthesis Options

: "fa2" : NGC : xc3s50-5-pq208

===============================================================* HDL Analysis * ===============================================================Analyzing top module . Module is correct for synthesis. Analyzing module in library . Module is correct for synthesis. Analyzing module in library . Module is correct for synthesis. ===============================================================* Synthesis * =============================================================== Performing bidirectional port resolution... Synthesizing Unit . Related source file is "ha.v". Found 1-bit xor2 for signal . Unit synthesized. Synthesizing Unit . Related source file is "org.v". Unit synthesized. Synthesizing Unit . Related source file is "fa.v". Unit synthesized. =============================================================== HDL Synthesis Report Macro Statistics Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

HDL

# Xors 1-bit xor2

Verilog HDL Lab Manual :2 :2

Dated: 29/04/2011

====================================================== * Advanced HDL Synthesis * ====================================================== Loading device for application Rf_Device from file '3s50.nph' in environment C:\Xilinx. ====================================================== Advanced HDL Synthesis Report Macro Statistics # Xors 1-bit xor2

:2 :2

====================================================== * Final Report * ===================================================================== Final Results RTL Top Level Output File Name : fa2.ngr Top Level Output File Name : fa2 Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Design Statistics # IOs

:5

Cell Usage : # BELS :2 # LUT3 :2 # IO Buffers :5 # IBUF :3 # OBUF :2 ===================================================================== Device utilization summary: --------------------------Selected Device : 3s50pq208-5 Number of Slices: Number of 4 input LUTs Number of IOs: Number of bonded IOBs:

: 1 out of 768 0% : 2 out of 1536 0% :5 : 5 out of 124 4%

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 3 Simulation using all the modeling styles and Synthesis of 2:1 Multiplexer and 4:1 Multiplexer using VERILOG HDL Aim: Perform Zero Delay Simulation of 2:1 Multiplexer and 4:1 Multiplexer written in behavioral, dataflow and structural modeling style in VERILOG HDL using a Test bench. Then, Synthesize each one of them on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram: 2:1 Multiplexer: A

2:1 Multiplexer

B

Y

S

4:1 Multiplexer: A B C

4:1 Multiplexer

Y

D

S1

S0

Truth table: Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

2:1 Multiplexer: S 0 0 0 0 1 1 1 1

A 0 0 1 1 0 0 1 1

B 0 1 0 1 0 1 0 1

Y 0 0 1 1 0 1 0 1

4:1 Multiplexer: A 0 0 1 1

B 0 1 0 1

Y A B C D

Boolean Equation: 2:1 Multiplexer: Y = A.S’ + B.S 4:1 Multiplexer: Y = A.S1’.S0’ + B.S1’.S0 + C.S1.S0’ + D.S1.S0

VERILOG HDL Code: 2:1 Multiplexer ( in dataflow and behavioral modeling style) : module mux21(a, b, s, c); input a; input b; input s; output c; assign c = s ? a : b; endmodule module mux21a(a, b, s, c); input a; input b; input s; output c; Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

reg c; always @(a or b or s) begin if (s) c = a; else c = b; end endmodule 4:1 Multiplexer( in behavioral, dataflow and structural modeling styles): module mux41(a, s,c); input [3:0] a; input [1:0] s; output c; assign c = (!s[0] & !s[1] & a[0]) | (s[0] & !s[1] & a[1]) | (!s[0] & s[1] & a[2]) | (s[0] & s[1] & a[3]); endmodule module mux41a (a,s,c); input [3:0] a; input [1:0] s; output c; reg c; always @(a or s) begin case(s) 2'b00: c = a[0]; 2'b01: c = a[1]; 2'b10: c = a[2]; 2'b11: c = a[3]; default: c = a[0]; endcase end endmodule module mux41b (a,s,c); input [3:0] a; input [1:0] s; output c; wire w1,w2; mux21 mux21_i1 (.a(a[0]), Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual .b(a[1]), .s(s[1]), .c(w1) );

Dated: 29/04/2011

mux21 mux21_i2 (.a(a[2]), .b(a[3]), .s(s[1]), .c(w2) ); mux21 mux21_i3 (.a(w1), .b(w2), .s(s[0]), .c(c) ); endmodule

VERILOG HDL Test Bench: 2:1 Multiplexer:

module mux21_tst_v; reg a; reg b; reg s; wire c; mux21 uut ( .a(a), .b(b), .s(s), .c(c) ); initial begin a = 0; b = 1; s = 0; #100 s = 1; end endmodule 4: 1 Multiplexer: module mux41_tst_v; reg [3:0] a; reg [1:0] s; wire c;

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

mux41 uut ( .a(a), .s(s), .c(c) ); initial begin a = 4'b0101; s = 2'b00; #100 #100 #100 #100 end

s = 2'b00; s = 2'b01; s = 2'b10; s = 2'b11;

endmodule

Simulation Waveform: Mux41:

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Synthesis: 2 :1 Multiplexer: EDA Tool Name: Xilinx Project Navigator – 8.1

4 :1 Multiplexer: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Synthesis Report: ===============================================================* Synthesis Options Summary * ===============================================================---- Source Parameters Input File Name : "mux41.prj" Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name Output Format Target Device

Dated: 29/04/2011

: "mux41" : NGC : xc3s50-5-pq208

=============================================================== * HDL Compilation * =============================================================== Compiling verilog file "mux21.v" in library work Module compiled Compiling verilog file "mux41.v" in library work Module compiled Module compiled Module compiled Module compiled No errors in compilation Analysis of file succeeded. =============================================================== * Final Report * =============================================================== Final Results RTL Top Level Output File Name : mux41.ngr Top Level Output File Name : mux41 Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Design Statistics # IOs

:7

Cell Usage : # BELS :3 # LUT3 :2 # MUXF5 :1 # IO Buffers :7 # IBUF :6 # OBUF :1 =============================================================== Device utilization summary: --------------------------Selected Device : 3s50pq208-5 Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual Number of Slices: 1 out of 768 0% Number of 4 input LUTs: 2 out of 1536 0% Number of IOs: 7 Number of bonded IOBs: 7 out of 124 5%

Dated: 29/04/2011

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 4 Simulation and Synthesis of 1:4 Demultiplexer using VERILOG HDL Aim: Perform Zero Delay Simulation 1:4 Demultiplexer in VERILOG HDL using a Test bench. Then, Synthesize on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram: 1:4 Demultiplexer

A

Y

S

Truth Table: Input A B C D

Select 00 01 10 11

Output Y(0) Y(1) Y(2) Y(3)

Boolean Equation: Y(3) = A.S.(1)’.S(0)’ Y(2) = B.S.(1)’.S(0) Y(1) = C.S.(1).S(0)’ Y(0) = D.S.(1).S(0)

VERILOG HDL Code: module demux12(a, s, c); Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

input a; input s; output [1:0] c; assign c[1] = a & ~ s; assign c[0] = a & s; endmodule module demux12a(a, s, c); input a; input s; output [1:0] c; reg c; always @(a or s) begin if (s) c = (a & ~s); else c = (a & s); end endmodule

VERILOG HDL test bench: module demux12_tst_v; reg a; reg s; wire [1:0] c; demux12 uut ( .a(a), .s(s), .c(c) ); initial begin a = 0; s = 0; #10; s = 1; end endmodule

Simulation Waveform: Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Synthesis: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 5 Simulation and Synthesis of 2:4 Decoder using VERILOG HDL Aim: Perform Zero Delay Simulation 2:4 Decoder in VERILOG HDL using a Test bench. Then, Synthesize on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram:

2:4 Decoder

A

Y

Truth Table: A 00 01 10 11

Y 0001 0010 0100 1000

Boolean Equation: Y(0) = A(1)’. A(0)’ Y(1) = A(1)’.A(0) Y(2) = A(1).A(0)’ Y(3) = A(1). A(0)

VERILOG HDL Code: Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

module decoder24 (a,b); input [1:0] a; output [3:0]b; reg [3:0] b; always @(a) begin b[3] = a[1] & a[0]; b[2] = !a[1] & a[0]; b[1] = a[1] & !a[0]; b[0] = !a[1] & !a[0]; end endmodule

VERILOG HDL Test Bench: module decoder_tst_v; reg [1:0] a; wire [3:0] b; decoder24 uut ( .a(a), .b(b) ); initial begin a = 2'b00; #100 a = 2'b01; #100 a = 2'b10; #100 a = 2'b11; end endmodule

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Simulation Waveform:

Synthesis: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 6 Simulation and Synthesis of 4:2 Encoder using VERILOG HDL Aim: Perform Zero Delay Simulation 4:2 Encoder in VERILOG HDL using a Test bench. Then, Synthesize on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram:

Y

4:2 Encoder

A

Truth Table: A 1000 0100 0010 0001

Y 00 01 10 11

Boolean Equation: Y(1) = A(1) + A(0) Y(0) = A(2) + A(0)

VERILOG HDL Code: module encoder24(a, b); input [3:0] a; output [1:0] b; reg [1:0] b; always @(a) Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

begin case (a) 4'b0001: b = 2'b00; 4'b0010: b = 2'b01; 4'b0100: b = 2'b10; 4'b1000: b = 2'b11; default: b = 2'b00; endcase end endmodule

VERILOG HDL Test Bench: module encoder_tst_v; reg [3:0] a; wire [1:0] b; decoder24 uut ( .a(a), .b(b) ); initial begin a = 4'b0001; #100 a = 4'b0010; #100 a = 4'b0100; #100 a = 4'b1000; #100 $stop; end endmodule

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Simulation Waveform:

Synthesis: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 7 Simulation and Synthesis of 4:2 Priority Encoder using VERILOG HDL Aim: Perform Zero Delay Simulation 4:2 Priority Encoder in VERILOG HDL using a Test bench. Then, Synthesize on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram:

A

4:2 Priority Encoder

Y

Truth Table: A(3) A(2) A(1) 0 0 0 0 0 1 0 1 X 1 X X

A(0) 1 X X X

Y(1) Y(0) 0 0 0 1 1 0 1 1

A(3) A(2) A(1) 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1

A(0) 1 0 1 0 1 0 1 0 1 0 1

Y(1) Y(0) 0 0 0 1 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

Dated: 29/04/2011 1 1 1 1

1 1 1 1

Boolean Equation: Y(1) = A(3) + A(2) Y (0) = A(2)’.A(1) + A(3).A(2) + A(3).A(0)

VERILOG HDL Code: module pri_encoder42(a, b); input [3:0] a; output [1:0] b; reg [1:0] b; always @(a) begin if (a[3]) b = 2'b00; else if (a[2]) b = 2'b01; else if(a[1]) b = 2'b10; else if (a[0]) b = 2'b11; end endmodule

VERILOG HDL Test Bench: module pri_encoder_tst_v; reg [3:0] a; wire [1:0] b; pri_encoder42 uut ( .a(a), .b(b) ); initial begin a = 4'b0001; #10 a = 4'b0010; #10 a = 4'b0011; #10 a = 4'b0100; #10 a = 4'b0101; #10 a = 4'b0110; #10 a = 4'b0111; Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual #10 a = 4'b1000; #10 a = 4'b1001; #10 a = 4'b1010; #10 a = 4'b1011; #10 a = 4'b1100; #10 a = 4'b1101; #10 a = 4'b1110; #10 a = 4'b1111; #10 $stop;

Dated: 29/04/2011

end endmodule

Simulation Waveform:

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Synthesis: EDA Tool Name: Xilinx Project Navigator – 8.1

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 8 Simulation and Synthesis of magnitude comparator 1-bit using VERILOG HDL Aim: Perform Zero Delay Simulation of magnitude comparator 1-bit in VERILOG HDL using a Test bench. Then, Synthesize on two different EDA tools.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

Block Diagram: AgtB

Magnitude Comparator 1-bit

A B

AltB AeqB

Truth Table: A 0 0 1 1

B 0 1 0 1

AgtB 0 0 1 0

AltB 0 1 0 0

AeqB 1 0 0 1

Boolean Equation: AgtB = A.B’ AltB = A’.B AeqB = A’.B’ + A.B

VERILOG HDL Code: module magcomp1(a, b, agtb, aeqb, altb); input a; input b; output agtb; Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

and

design

Leonardo flow

from

Verilog HDL Lab Manual

Dated: 29/04/2011

output aeqb; output altb; assign agtb = (a > b); assign altb = (a < b); assign aeqb = (a ==b); endmodule

VERILOG HDL Test Bench: module magcomp1_tst_v; reg a; reg b; wire agtb; wire aeqb; wire altb; magcomp1 uut ( .a(a), .b(b), .agtb(agtb), .aeqb(aeqb), .altb(altb) ); initial begin a = 0; b = 0; #100 a = 0; b = 1; #100 a = 1; b = 0; #100 a = 1; b = 1; end endmodule

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

Simulation Waveform:

Synthesis: EDA Tool Name: Xilinx Project Navigator – 8.1:

Prepared By: Parag Parandkar Asst. Prof. ECE Dept., CDSE, Indore (M.P.) [email protected]

Verilog HDL Lab Manual

Dated: 29/04/2011

EXPERIEMENT NO. 9 Simulation and Synthesis of D flip flop using VERILOG HDL Aim: Perform Zero Delay Simulation of d flip flop in VERILOG HDL using a Test bench. Then, Synthesize on EDA tool.

Electronics Design Automation Tools used: i)

FPGA

Advantage

3.1 (includes Model Spectrum Synthesis Tool) ii) Xilinx Project Navigator 8.1 (Includes all Simulation to Implementation to download onto FPGA).

Sim the

simulation steps

in

tool the

VERILOG HDL Code: D-flip flop with asynchronous and synchronous reset: module dff(d, clk, reset, q); input d; input clk; input reset; output q; reg q; always @(posedge clk or posedge reset) begin if (reset) q
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF