Verilog Code for Traffic Light Control Using FSM

September 21, 2017 | Author: Er Pradip Patel | Category: N/A
Share Embed Donate


Short Description

verilog...

Description

Verilog Code for Traffic Light Control Using FSM Sr. No. 1 2 3 4 5 6 7 8 9 10 11 12 13 14

Name of the Pin Nr Ng Ny Sr Sg Sy Er Eg Ey Wr Wg Wy Clk Rst_a

Direction

Width

Description

Output Output Output Output Output Output Output Output Output Output Output Output Input Input

1 1 1 1 1 1 1 1 1 1 1 1 1 1

North_Side_Red_Light North_Side_Green_Light North_Side_Yellow_Light South_Side_Red_Light South_Side_Green_Light South_Side_Yellow_Light East_Side_Red_Light East_Side_Green_Light East_Side_Yellow_Light West_Side_Red_Light West_Side_Green_Light West_Side_Yellow_Light Clock Signal Reset Signal

001 module traffic_control(nr,ng,ny,sr,sy,sg,wr,wy,wg,er,ey,eg,clk,rst_a); 002 003 output reg nr,sr,wr,er,ny,sy,wy,ey,ng,sg,eg,wg; 004 input clk; 005 input rst_a; 006 007 reg [2:0] state; 008 reg [2:0] next_state; 009 010 parameter [2:0] north=3'b000; 011 parameter [2:0] north_y=3'b001; 012 parameter [2:0] south=3'b010; 013 parameter [2:0] south_y=3'b011; 014 parameter [2:0] east=3'b100; 015 parameter [2:0] east_y=3'b101; 016 parameter [2:0] west=3'b110; 017 parameter [2:0] west_y=3'b111; 018 019 reg [2:0] count; 020 021 022 023 024 025 026

always @(posedge clk, posedge rst_a) begin if (rst_a) begin state=north;

027 028 029 030 031 032 033 034 035 036 037 038 039 040 041 042 043 044 045 046 047 048 049 050 051 052 053 054 055 056 057 058 059 060 061 062 063 064 065 066 067 068 069 070 071 072 073 074

end else begin count=count+3'b001; state=next_state; end end always @(state,count,next_state) begin case (state) north : begin if (count==3'b111) begin count=3'b000; next_state=north_y; end else begin //count=count+3'b001; next_state=north; end end north_y : begin if (count==3'b011) begin count=3'b000; next_state=south; end else begin //count=count+3'b001; next_state=north_y; end end south : begin if (count==3'b111) begin count=3'b0; next_state=south_y; end else begin

075 076 077 078 079 080 081 082 083 084 085 086 087 088 089 090 091 092 093 094 095 096 097 098 099 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123

//count=count+3'b001; next_state=south; end end south_y : begin if (count==3'b011) begin count=3'b0; next_state=east; end else begin //count=count+3'b001; next_state=south_y; end end east : begin if (count==3'b111) begin count=3'b0; next_state=east_y; end else begin //count=count+3'b001; next_state=east; end end east_y : begin if (count==3'b011) begin count=3'b0; next_state=west; end else begin //count=count+3'b001; next_state=east_y; end end west : begin

124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171

if (count==3'b111) begin next_state=west_y; count=3'b0; end else begin //count=count+3'b001; next_state=west; end end west_y : begin if (count==3'b011) begin next_state=north; count=3'b0; end else begin //count=count+3'b001; next_state=west_y; end end endcase // case (state) end // always @ (state)

always @(state,next_state) begin case (state) north : begin //count=count+1; ng=1'b1; sg=1'b0; wg=1'b0; eg=1'b0; ny=1'b0; sy=1'b0; ey=1'b0; wy=1'b0; nr=1'b0; sr=1'b1; er=1'b1;

172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220

wr=1'b1; end // case: north north_y : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b0; eg=1'b0; ny=1'b1; sy=1'b0; ey=1'b0; wy=1'b0; nr=1'b0; sr=1'b1; er=1'b1; wr=1'b1; end // case: north_y south : begin //count=count+1; ng=1'b0; sg=1'b1; wg=1'b0; eg=1'b0; ny=1'b0; sy=1'b0; ey=1'b0; wy=1'b0; nr=1'b1; sr=1'b0; er=1'b1; wr=1'b1; end // case: south south_y : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b0; eg=1'b0; ny=1'b0; sy=1'b1; ey=1'b0; wy=1'b0; nr=1'b1;

221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269

sr=1'b0; er=1'b1; wr=1'b1; end // case: south_y west : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b1; eg=1'b0; ny=1'b0; sy=1'b0; ey=1'b0; wy=1'b0; nr=1'b1; sr=1'b1; er=1'b1; wr=1'b0; end // case: west west_y : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b0; eg=1'b0; ny=1'b0; sy=1'b0; ey=1'b0; wy=1'b1; nr=1'b1; sr=1'b1; er=1'b1; wr=1'b0; end // case: west_y east : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b0; eg=1'b1; ny=1'b0; sy=1'b0; ey=1'b0;

270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295

wy=1'b0; nr=1'b1; sr=1'b1; er=1'b0; wr=1'b1; end // case: east east_y : begin //count=count+1; ng=1'b0; sg=1'b0; wg=1'b0; eg=1'b0; ny=1'b0; sy=1'b0; ey=1'b1; wy=1'b0; nr=1'b1; sr=1'b1; er=1'b0; wr=1'b1; end // case: east_y endcase // case (state) end // always @ (state) endmodule

View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF