trabajo final1_VHDL

July 18, 2017 | Author: Elyel Surco M | Category: Vhdl, Digital Electronics, Software Engineering, Digital & Social Media, Digital Technology
Share Embed Donate


Short Description

Download trabajo final1_VHDL...

Description

Trabajo encargado Diseño Electrónico 1. Describa los cinco tipos de unidades de diseño en VHDL. Entity declaration (declaración de entidad). Architecture (arquitectura). Configuration (configuración). Package declaration (declaración de paquete). Package body (cuerpo de paquete). 1. Determine cuáles son las unidades de diseño necesarias para realizar un programa en VHDL. Las más necesarias son: declaración de entidad, paquete y configuración. 2. Mencione las unidades de diseño primarias y secundarias. Declaración de entidad, paquete y configuración son la unidades primarias, mientras que la arquitectura y el cuerpo del paquete son unidades de diseño secundario. Declaración de entidades 3. Describa el significado de una entidad y cuál es su palabra reservada. Una entidad es la abstracción de un circuito, ya sea desde un complejo sistema electrónico o una simple puerta lógica. La entidad únicamente describe la forma externa del circuito, en ella se enumeran las entradas y las salidas del diseño. Una entidad es análoga a un símbolo esquemático en los diagramas electrónicos, el cual describe las conexiones del dispositivo hacia el resto del diseño. ○ ○ ○

Define externamente al circuito o subcircuito. Nombre y número de puertos, tipos de datos de entrada y salida. Tienes toda la información necesaria para conectar tu circuito a otros circuitos.

1. En la siguiente declaración de entidad indique:

a) b) c) d)

El nombre de la entidad: selección. Los puertos de entrada: x. El puerto de salida: f. El tipo de dato:std_logic_vector.

6.- señale cuales de los siguientes identificadores son correctos o incorrectos, colocando en líneas de respuesta la letra C o T respectivamente. Llogico desp_laza………c Con_trol n_ivel……….....c Pagina architecture….…c Registro s_uma#.............t 2suma res ta…………….t 7.- declare la entidad para el siguiente ciruito.

Library ieee; Use ieee.std_logic_1164.all; Entity DEMO is port ( A,B:in std_logic; D:out std_logic); end DEMO; 8.-declare la identidad para el siguiente circuito.

Library ieee; Use ieee.std_logic_1164.all; Entity PROMEDIO is port ( A,B:in std_logic_vector(0 to 2); C:out std_logic_vector(0 to 2) ); end PROMEDIO; 9,- Describa una libreria en vhdl. Una librería en VHDL es un lugar en donde se guarda la información relacionada con un diseño determinado. Al comienzo de cada diseño

el compilador crea automáticamente una biblioteca llamada WORK con este objetivo. Además de esta biblioteca particular existen otras bibliotecas de tipo general que contienen un conjunto de definiciones que pueden utilizarse en cualquier diseño. Un ejemplo de biblioteca general es la llamada Library IEEE, que contiene definiciones estándar para VHDL. Para utilizar una biblioteca general es necesario escribir su nombre al inicio del programa, por eso es muy común que en la primera línea de un diseño en VHDL aparezca escrito "Library IEEE", de ésta forma dicha biblioteca se hace visible para el diseño. 10.-indique el significado de la siguiente expresión: Use ieee.std_logic_1164.all; 11.- declare la entidad del circuito multiplexor de 4:1 utilizando la lbreria Use ieee.std_logic_1164.all;

library ieee; use ieee.std_logic_1164.all; entity mux4x1 is port (E0,E1,E2,E3,S0,S1:in std_logic; F:out std_logic); end mux4x1; 12) Mediante un estilo funcional, programe en VHDL el funcionamiento de una lama para para código morse que encienda la luz al presionar un botón y la apague al soltarlo a) library ieee; use ieee.std_logic_1164.all; entity codigo_morse is port(

a,b,c,d:in std_logic; f:out std_logic ); end codigo_morse; architecture funcional of codigo_morse is begin f
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF