PROJECT ON SYNCHRONOUS FIFO DESIGN ,SIMULATION,VERIFICATION and SYNTHESIS using VERILOG

Share Embed Donate


Short Description

Download PROJECT ON SYNCHRONOUS FIFO DESIGN ,SIMULATION,VERIFICATION and SYNTHESIS using VERILOG...

Description

DESIGN, VERIFICA TION AND SYNTHE SIS OF SYN CHR ONOUS FIFO

1

2

TABLE OF CONTENTS: •

ACRONYMS ………………………………………………………...3



ACKNOWLEDGEMENT …………………………………………...4



HISTORY ……………………………………………………………5



ABSTRACT ………………………………………………………….6



INTRODUCTION …………………………………………………...7



BLACK-BOX VIEW OF SYNCHRONOUS FIFO ……….…….…10



PORT LIST ……………………………………………..………......10



FUNCTIONAL DESCRIPTION …………...………………………12



VERIFICATION OF THE MODULE ………..…………………….22



SYNTHESIS OF THE MODULE ...………………………………..27



CONCLUSION ……………………………………………………..32

• APPENDIX …..……………………………………………………..33 o A1: RTL DESCRIPTION OF SYNCHRONOUS FIFO USING VERILOG HDL …………………...…………………………...33 o

A2: VERILOG TESTBENCH …………...………………………42

3

ACRONYMS: EDA

: Electronic Design Automation



FIFO

: First In First Out



CAD

: Computer Aided Design



CAE

: Computer Aided Engineering



IC’s

: Integrated Circuits



RTL

: Register Transistor Level



TB

: Testbench



HDL

: Hardware Description Language



MSB

: Most Significant Bit



RAM

: Random Access Memory



WCLK : Write Clock



RCLK : Read Clock



LFSR : Linear Feedback Shift Registers



VHDL : Very High Speed Integrated Circuit Hardware Description



Language •

HOD

: Head Of Department



MIT

: Manipal Institute of Technology

4

ACKNOWLEDGEMENT I would like to express my gratitude to all those who gave me the opportunity to complete this training. I am obliged to Mr. H. K. Shama (H.O.D, ECE, M.I.T., Manipal) for his stimulating support. I want to thank Mr. Vasanth Mallya (Engineering Director, Cadence Design Systems, Inc.) for offering me training for one month at Cadence Design Systems, Inc Bangalore office. I am grateful to Mr. Sunil Vashistha (Principal Design Engineer) for his willingness to help and discuss Synchronous FIFO design issues during the training and for helping me to understand and use the RTL compiler for synthesis. I am deeply indebted to my brother, Amit Anand, whose help, stimulating suggestions and encouragement helped me throughout the training in completing the Synchronous FIFO design, simulation and synthesis. I want to thank them for all their help, support, interest and valuable hints.

5

HISTORY Cadence Design Systems, Inc is an electronic EDA software and engineering services company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. For years it has been the largest company in the EDA industry. With revenues of $1.43 billion in 2001, Cadence Design Systems, Inc. is the world's leading developer of EDA products and services. EDA software is a form of CAD/CAE software specifically geared towards automating the design of electronic systems and IC's. The company's products and services are used by companies in the computer, communication, and consumer electronics industries to design and develop IC's and electronic systems, including semiconductors, computer systems and peripherals, telecommunications and networking equipment, wireless products, automotive electronics, and various other electronic products. Cadence has operations in North America, Europe, Japan, and the Asia Pacific region. Computer-aided design of IC's was a rapidly growing field when Cadence started operations. As chip manufacturers tried to fit increasingly more tiny transistors on each chip, the complex layout of the chip's design and its verification came to depend on design automation software. ECAD, based in Santa Clara, California, developed and sold CAD/CAE software to accelerate the design of IC's, including both the schematic design and the testing phases. The company's specialty was design-verification software, in which it was a technology leader.

6

ABSTRACT FIFO is a First-In-First-Out memory queue with control logic that manages the read and write operations, generates status flags, and provides optional handshake signals for interfacing with the user logic. It is often used to control the flow of data between source and destination. FIFO can be classified as synchronous or asynchronous depending on whether same clock or different (asynchronous) clocks control the read and write operations. In this project the objective is to design, verify and synthesize a synchronous FIFO using binary coded read and write pointers to address the memory array. FFIO full and empty flags are generated and passed on to source and destination logics, respectively, to pre-empt any overflow or underflow of data. In this way data integrity between source and destination is maintained. The RTL description for the FIFO is written using Verilog HDL, and design is simulated and synthesized using NC-SIM and RTL compiler provided by Cadence Design Systems, Inc. respectively.

7

INTRODUCTION WHAT IS A FIFO (first in first out)? In computer programming, FIFO (first-in, first-out) is an approach to handling program work requests from queues or stacks so that the oldest request is handled first. In hardware it is either an array of flops or Read/Write memory that store data given from one clock domain and on request supplies with the same data to other clock domain following the first in first out logic. The clock domain that supplies data to FIFO is often referred as WRITE OR INPUT LOGIC and the clock domain that reads data from the FIFO is often referred as READ OR OUTPUT LOGIC. FIFOs are used in designs to safely pass multi-bit data words from one clock domain to another or to control the flow of data between source and destination side sitting in the same clock domain. If read and write clock domains are governed by same clock signal the FIFO is said to be SYNCHRONOUS and if read and write clock domains are governed by different (asynchronous) clock signals FIFO is said to be ASYNCHRONOUS. FIFO full and FIFO empty flags are of great concern as no data should be written in full condition and no data should be read in empty condition, as it can lead to loss of data or generation of non relevant data. The full and empty conditions of FIFO are controlled using binary or gray pointers. In this report we deal with binary pointers only since we are designing SYNCHRONOUS FIFO. The gray pointers are used for generating full and

8

empty conditions for ASYNCHRONOUS FIFO. The reason why they are used is beyond the scope of this document.

Figure 1: Data Flow through FIFO SYNCHRONOUS FIFO A synchronous FIFO refers to a FIFO design where data values are written sequentially into a memory array using a clock signal, and the data values are sequentially read out from the memory array using the same clock signal. In synchronous FIFO the generation of empty and full flags is straight forward as there is no clock domain crossing involved. Considering this fact user can even generate programmable partial empty and partial full flags which are needed in many applications.

9

APPLICATIONS •

FIFO’s are used to safely pass data between two asynchronous clock domains. In System-on-Chip designs there are components which often run on different clocks. So, to pass data from

one such

component to another we need ASYNCHRONOUS FIFO •

Some times even if the Source and Requestor sides are controlled by same clock signal a FIFO is needed. This is to match the throughputs of the Source and the Requestor. For example in one case source may be supplying data at rate which the requestor can not handle or in other case requestor may be placing requests for data at a rate at which source can not supply. So, to bridge this gap between source and

requestor

capacities

to

supply

and

consume

data

a

SYNCHRONOUS FIFO is used which acts as an elastic buffer.

10

BLACK BOX VIEW OF SYNCHRONOUS FIFO

SYNCHRONOUS FIFO

Figure 1: Black-box view of a Synchronous FIFO

PORT LIST COMMON PORTS Name clk

I/O I

reset_n

I

flush

I

Width Description 1 Clock input to the FIFO. This is common input to both read and write sides of FIFO 1 Active-low asynchronous reset input to FIFO read and write logics 1 Active-high synchronous flush input to FIFO. A clock-wide pulse resets the FIFO read and write pointers

WRITE SIDE PORTS Name write_data

I/O I

Width Description 16 16-bit data input to FIFO

11

wdata_valid

I

1

fifo_full

O

1

fifo_afull

O

1

write_ack

O

1

Qualifies the write data. Logic high indicates the data on write_data bus is valid and need to be sampled at next rising edge of the clock. Indicates to the source that FIFO’s internal memory has no space left to take in new data Indicates to the source that FIFO’s internal memory has only few spaces left for new data. Upon seeing this source may decide to slow down or stall the write operation Write acknowledgement to source.

READ SIDE PORTS Name read_req

I/O I

read_data

O

rdata_valid

O

fifo_empty

O

fifo_aempty

O

Width Description 1 Data read request to FIFO from the requestor 16 Read data in response to a read request. Data is valid in the next cycle of read_req provided FIFO is not empty 1 Qualifies read data out. A logic high indicates the data on read_data bus is valid and need to be sampled at the next rising edge of the clock 1 Indicates to the requestor that FIFO’s internal memory is empty and therefore has no data to serve upon the read request 1 Indicates to the requestor that FIFO’s internal memory is almost empty and therefore has only few data left to serve upon the future read requests. Upon seeing this requestor may decide to slow down or stall the read operation.

12

FUNCTIONAL DESCRIPTION Figure 2 depicts the basic building blocks of a synchronous FIFO which are: memory array, write control logic and read control logic. The memory array can be implemented either with array of flip-flops or with a dual-port read/write memory. Both of these implementations allow simultaneous read and write accesses. This simultaneous access gives the FIFO its inherent synchronization property. There are no restrictions regarding timing between accesses of the two ports. This means simply, that while one port is writing to the memory at one rate, the other port can be reading at another rate totally independent of one another. The only restriction placed is that the simultaneous read and write access should not be from/to the same memory location. The Synchronous FIFO has a single clock port clk for both data-read and data-write operations. Data presented at the module's data-input port write_data is written into the next available empty memory location on a rising clock edge when the write-enable input write_enable is high. The full status output fifo_full indicates that no more empty locations remain in the module's internal memory. Data can be read out of the FIFO via the module's data-output port read_data in the order in which it was written by asserting read-enable signal read_enable prior to a rising clock edge. The memoryempty status output fifo_empty indicates that no more data resides in the module's internal memory. There are almost empty and almost full flags too viz. fifo_aempty and fifo_afull which can be used to control the read and write speeds of the requestor and the source.

13

Figure 2: Block Diagram of a Synchronous FIFO

WRITE CONTROL LOGIC Write Control Logic is used to control the write operation of the FIFO’s internal memory. It generates binary-coded write pointer which points to the memory location where the incoming data is to be written. Write pointer is incremented by one after every successful write operation. Additionally it generates FIFO full and almost full flags which in turn are used to prevent any data loss. For example if a write request comes when FIFO is full then Write Control Logic stalls the write into the memory till the time fifo_full flag gets de-asserted. It intimates the stalling of write to source by not sending any acknowledgement in response to the write request. Figure 3 below shows the black-box view of Write Control Logic

14

WRITE CONTROL LOGIC

Figure 3: Write Control Logic Black-box View

PORT LIST Name clk reset_n flush

I/O I I I

wdata_valid

I

rd_ptr

I

write_enable write_ptr

O O

write_ack

O

fifo_full

O

fifo_afull

O

Width Description 1 Clock input 1 Active-low reset input 1 Active-high synchronous flush input to FIFO. A clock-wide pulse resets the FIFO read and write pointers 1 Qualifies write data in. A logic high indicates the data on write_data bus is valid 5 Read pointer from Read Control Logic. This along with write pointer is used to find FIFO full and almost full condition 1 Write enable to FIFO’s internal memory 5 Write pointer value. This serves as a write address to FIFO’s internal memory 1 Acknowledgement to source that write operation is done. 1 Indicates to the source that FIFO’s internal memory has no space left to take in new data 1 Indicates to the source that FIFO’s internal memory has only few spaces left for new data. Upon seeing this source may 15

decide to slow down or stall the write operation

READ CONTROL LOGIC Read Control Logic is used to control the read operation of the FIFO’s internal memory. It generates binary-coded read pointer which points to the memory location from where the data is to be read. Read pointer is incremented by one after every successful read operation. Additionally it generates FIFO empty and almost empty flags which in turn are used to prevent any spurious data read. For example if a read request comes when FIFO is empty then Read Control Logic stalls the read from the memory till the time fifo_empty flag gets de-asserted. It intimates the stalling of read to the requestor by not asserting rdata_valid in response to the read request. Figure 5 below shows the black-box view of Read Control Logic

READ CONTROL LOGIC

Figure 5: Read Control Logic Black-box View

16

PORT LIST Name clk reset_n flush

I/O I I I

read_req write_ptr

I I

read_enable read_ptr

O O

rdata_valid

O

fifo_empty

O

fifo_aempty

O

Width Description 1 Clock input 1 Active-low reset input 1 Active-high synchronous flush input to FIFO. A clock-wide pulse resets the FIFO read and write pointers 1 Read request from the requestor. 5 Write pointer from Write Control Logic. This along with read pointer is used to find FIFO empty and almost empty conditions 1 Read enable to FIFO’s internal memory 5 Read pointer value. This serves as a read address to FIFO internal memory 1 Acknowledgement to source that write operation is done. 1 Indicates to the source that FIFO’s internal memory has no space left to take in new data 1 Indicates to the source that FIFO’s internal memory has only few spaces left for new data. Upon seeing this source may decide to slow down or stall the write operation

MEMORY ARRAY Memory Array is an array of flip-flops which stores data. Number of data words that the memory array can store is often referred as DEPTH of the FIFO. Length of the data word is referred as WIDTH of the FIFO. Besides flop-array it comprises read and write address decoding logic.

17

The functionality of Memory Array is relatively straight forward as described below: 1. If write_enable signal is high DATA present on write_data is written

into the row pointed by write_addr on the next rising edge of the clock signal clk. Note that write_enable is asserted only when wdata_valid is high and FIFO is not full to avoid any data corruption 2. If read_enable signal is high the DATA present in the row pointed by

read_addr is sent onto the read_data bus on the next rising edge of the clock signal clk. Note that read_enable is asserted only when read_req is high and FIFO is not empty to avoid any spurious data being sent to the requestor 3. It can handle simultaneous read and write enables as long as their addresses do not match Figure 6 below shows the black-box view of Memory Array.

MEMORY ARRAY

Figure 6: Memory Array Black-box View 18

PORT LIST Name clk write_addr

I/O I I

write_enable

I

write_data read_addr

I I

read_enable read_data

I O

Width Description 1 Clock input 4 Write address to the memory. It is derived from write pointer by knocking-off its MSB 1 Active-high write enable input to the memory 16 Data Input to the memory 4 Read address to the memory. It is derived from read pointer by knocking-off its MSB 1 Active-high read enable to memory 16 Data read out from the memory

FULL & EMPTY FLAG GENERATION FIFO full and almost full flags are generated by Write Control Logic whereas empty and almost empty flags are generated by Read Control Logic. FIFO almost full and almost empty flags are generated to intimate the source and the requestor about impending full or empty conditions. The almost full and almost empty levels are parameterized. It is important to note that read and write pointers point to the same memory location at both full and empty conditions. Therefore, in order to differentiate between the two one extra bit is added to read and write pointers. For example if a FIFO has depth of 256 then to span it completely 8-bits will be needed. Therefore with one extra bit read and write pointers 19

will be of 9-bits. When their lower 8-bits point to same memory location their MSBs are used to ascertain whether it is a full condition or empty condition. In empty conditions the MSBs are equal whereas in full condition MSBs are different. The verilog code shown below depicts the same: assign fifo_full = ( (write_ptr[7 : 0] == read_addr[7 : 0]) && (write_ptr[8] ^ read_ptr[8]) ); assign fifo_empty = (read_ptr[8 : 0] == write_ptr[8 : 0]); Following piece of verilog code shows logic almost full generation: // Generating fifo almost full status always @* begin if ( write_ptr[8] == read_ptr[8] ) fifo_afull = ((write_ptr[7:0] - read_ptr[7:0]) >= (DEPTH - AFULL)); else fifo_afull = ((read_ptr[8:0] - write_ptr[8:0]) include load_etc.tcl rc:/>set_attribute library tcbn65lpwc.lib" rc:/>read_hdl -v2001 "../RTL/SYNC_FIFO/mem_array.v \ ../RTL/SYNC_FIFO/read_control_logic.v \ ../RTL/SYNC_FIFO/sync_fifo.v \ ../RTL/SYNC_FIFO/write_control_logic.v" rc:/>elaborate 31

rc:/>check_design > ../REPORTS/check_design.rpt rc:/>read_sdc ../SCRIPTS/constraints.sdc rc:/>report timing -lint > ../REPORTS/timing_lint.rpt synthesize -to_mapped rc:/>write_hdl > ../NETLIST/sync_fifo_netlist.v rc:/>report timing -num_paths 100 > ../REPORTS/timing.rpt *************************************************************

32

RESULTS

It can be observed that there is a positive slack of 776ps (see the yellow circle) for the worst case path. So the synthesis comfortably meets the timing constraints set by us.

33

CONCLUSION The objective of this training was to learn basics of digital logic design. And during the course of this I learned the following aspects of digital design: • How to write RTL codes using Verilog HDL • How to write behavioral codes using Verilog HDL to develop testbenches • Design of basic logic elements like half adders, full adders, counters, Finite State Machines, sequence detectors etc. • Design and application of Synchronous FIFO •

Basic difference between Synchronous & Asynchronous FIFO

• How to develop testbench and run simulations to verify the correctness of your design (using Cadence NC-SIM) • How to synthesize an RTL design to a gate-level design subject to user defined constraints (using Cadence RTL Compiler) Given the short duration of this training, I believe, my set objectives are more than fulfilled.

34

APPENDIX A1: RTL DESCRIPTION OF SYNCHRONOUS FIFO USING VERILOG HDL TOP LEVEL MODULE //***********************************************************// // File Name: sync_fifo.v // Module Name: sync_fifo // Description: Synchronous FIFO // Author: Asim Anand // Place: Cadence Design Systems, Inc. // Date: July 10, 2008 //***********************************************************// module sync_fifo #( parameter ADDR_WIDTH = 4, parameter DATA_WIDTH = 16, parameter DEPTH = 16, parameter AEMPTY = 3, parameter AFULL = 3 ) ( // Inputs input clk, input reset_n, input flush, input read_req, input [DATA_WIDTH-1:0] write_data, input wdata_valid, // Outputs output [DATA_WIDTH-1:0] output output output output output output

read_data, rdata_valid, fifo_empty, fifo_aempty, fifo_full, fifo_afull, write_ack 35

); wire [ADDR_WIDTH:0] read_ptr; wire [ADDR_WIDTH:0] write_ptr; wire read_enable; wire write_enable; write_control_logic U_WRITE_CTRL ( .read_ptr(read_ptr), .flush(flush), .reset_n(reset_n), .clk(clk), .wdata_valid(wdata_valid), .write_ack(write_ack), .write_enable(write_enable), .write_ptr(write_ptr), .fifo_full(fifo_full), .fifo_afull(fifo_afull) ); read_control_logic U_READ_CTRL ( .write_ptr(write_ptr), .clk(clk), .reset_n(reset_n), .flush(flush), .read_req(read_req), .read_enable(read_enable), .rdata_valid(rdata_valid), .fifo_empty(fifo_empty), .read_ptr(read_ptr), .fifo_aempty(fifo_aempty) ); mem_array U_MEM_ARRAY ( .write_addr(write_ptr[ADDR_WIDTH-1:0]), .read_addr(read_ptr[ADDR_WIDTH-1:0]), .write_enable(write_enable), .read_enable(read_enable), .clk(clk), //.reset_n(reset_n), 36

.write_data(write_data), .read_data(read_data) ); endmodule //***********************************************************// READ CONTROL LOGIC //***********************************************************// // File Name: read_control_logic.v // Module Name: read_control_logic // Description: Controls the read operation of sync_fifo. Generates // FIFO empty & almost empty flags. // Author: Asim Anand // Place: Cadence Design Systems, Inc. // Date: July 8, 2008 //***********************************************************// module read_control_logic #( parameter ADDR_WIDTH = 4, parameter AEMPTY = 3, parameter DEPTH = 16 ) ( // Inputs input [ADDR_WIDTH:0] write_ptr, input clk, input reset_n, input flush, input read_req, // Outputs output output reg output output reg output reg [ADDR_WIDTH:0]

read_enable, rdata_valid, fifo_empty, fifo_aempty, read_ptr

); wire [ADDR_WIDTH-1:0] read_addr; 37

wire [ADDR_WIDTH-1:0] write_addr; // Extracting read and write address from corrosponding pointers assign read_addr = read_ptr [ADDR_WIDTH-1:0]; assign write_addr = write_ptr [ADDR_WIDTH-1:0]; //FIFO is empty when read pointer is same as write pointer assign fifo_empty = (read_ptr == write_ptr); // No read when FIFO is empty assign read_enable = read_req && (~fifo_empty); // Logic to generate almost empty flag always @* begin if (read_ptr[ADDR_WIDTH] == write_ptr[ADDR_WIDTH]) fifo_aempty = ((write_addr - read_addr) = (DEPTH - AEMPTY)); end // Read pointer and read data valid generation logic always @(posedge clk or negedge reset_n) begin if (~reset_n) read_ptr
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF