Lithography

Share Embed Donate


Short Description

report...

Description

LITHOGRAPHY

LITHOGRAPHY 1- INTRODUCTION: Lithography (from Ancient Greek λίθος, lithos, meaning "stone", and γράφειν, graphein, meaning "to write") is a method of printing originally based on the immiscibility of oil and water.[1] The printing is from a stone (lithographic limestone) or a metal plate with a smooth surface. It was invented in 1796 by German author and actor Alois Senefelder as a cheap method of publishing theatrical works. Lithography can be used to print text or artwork onto paper or other suitable material. The related term "photolithography" refers to when photographic images are used in lithographic printing, whether these images are printed directly from a stone or from a metal plate, as in offset printing. In fact, "photolithography" is used synonymously with "offset printing". The technique as well as the term were introduced in Europe in the 1850s. Beginning in the 1960s, photolithography has played an important role in the fabrication and mass production of integrated circuits in the microelectronics industry.

1.1-

LITHOGRAPHY IN VLSI: -

“Lithography is a process used in device fabrication, which uses focused radiant energy and chemical films that are affected by this energy to create precise temporary patterns in silicon wafers.” Microlithography and nanolithography refer specifically to lithographic patterning methods capable of structuring material on a fine scale. Typically, features smaller than 10 micrometers are considered microlithographic, and features smaller than 100 nanometers are considered nanolithographic. Photolithography is one of these methods, often applied to semiconductor manufacturing of microchips. Photolithography is also commonly used for fabricating Microelectromechanical systems (MEMS) devices.

Page | 1

LITHOGRAPHY

Photolithography generally uses a pre-fabricated photomask or reticule as a master from which the final pattern is derived. Although photolithographic technology is the most commercially advanced form of nanolithography, other techniques are also used. Some, for example electron beam lithography, are capable of much greater patterning resolution (sometimes as small as a few nanometers). Electron beam lithography is also important commercially,

primarily for its use in the manufacture of photomasks. Electron beam lithography as it is usually practiced is a form of maskless lithography, in that a mask is not required to generate the final pattern. Instead, the final pattern is created directly from a digital representation on a computer, by controlling an electron beam as it scans across a resist-coated substrate. Electron beam lithography has the disadvantage of being much slower than photolithography. In addition to these commercially well-established techniques, a large number of promising microlithographic and nano-lithographic technologies exist or are being developed, including nanoimprint lithography, interference lithography, X-ray lithography, extreme ultraviolet lithography, magneto lithography and scanning probe lithography. Some of these new techniques have been used successfully for small-scale commercial and important research applications. Surface-charge lithography, in fact Plasma desorption mass spectrometry can be directly patterned on polar dielectric crystals via pyroelectric effect, Diffraction lithography. Lithography process is used because in the process of IC fabrication, formation of silicon dioxide layer takes place which acts as an insulator on silicon wafer. To make the device suitable for conduction, there arises a need to remove the oxide layer from the wafer. Hence, to remove the oxide layer from the wafer we apply the process of lithography. This process removes the silicon dioxide layer from the

Page | 2

LITHOGRAPHY

wafer which expose the silicon wafer for diffusion of impurities or dopant material for enhancement of conduction.

Page | 3

LITHOGRAPHY

1.2

TYPES OF LITHOGRAPHY

PHOTO LITHOGRAPHY- It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical “photoresist” on a substrate. This process may cause diffraction from mask and its resolution may be low compared to others. But for industrial application, this is the most widely used method.

ELECTRON BEAM LITHOGRAPHY- It uses focused beam of electrons to draw custom shapes on a surface covered with an electronsensitive film called a resist. This produces focused beam of light that increases the accuracy of pattern transfer. It is only applicable when high accuracy is required.

X-RAY LITHOGRAPHY- It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical resist on the substrate. The mask differs from general photolithography process.

ION BEAN LITHOGRAPHY- It uses focused beam of ions which is useful for transferring high-fidelity patterns on three-dimensional surfaces. This could be used to produce three dimensional patterns on a wafer as the source produces focused beam of light that increases selectivity of area to exposed.

Page | 4

LITHOGRAPHY

PROCESSING STEPS OF LITHOGRAPHY

1.3

The general steps that are involved in the lithography process (common for all type of lithography techniques) are stated below :•

WAFER PREPARATION – The process of preparing the wafer by cleaning the silicon wafer of any organic or inorganic contamination by use of certain chemicals and preparing the wafer for application of



photoresist. PHOTORESIST COATING - The process of coating the silicon wafer with a layer of photoresist that would undergo change in properties on



application on process after it. MASKING – The process of aligning the silicon wafer covered with a layer of photoresist, with a mask which is made up of glass plate and



other material that would absorb the radiation. EXPOSURE -The process of exposing the silicon wafer with radiant energy that would pass through the transparent portion of the mask onto



the wafer that would lead to the change in properties of photoresist. DEVELOPMENT – The process of removal of photoresist layer that has



changed is properties due to exposure. ETCHING - The process of removal of silicon dioxide layer that is left exposed after the development process such that is doesn’t affect the silicon dioxide layer under the PR layer which haven’t changed its properties.

Page | 5

LITHOGRAPHY



STRIPPING – The process of removal of photoresist layer is called stripping.

Fig 1

Process steps in lithography

Page | 6

LITHOGRAPHY

2.

2.1

PHOTOLITHOGRAPHY INTRODUCTIONThe root words photo, litho, and graphy all have Greek origins, with the

meanings 'light', 'stone' and 'writing' respectively. As suggested by the name compounded from them, photolithography is a printing method (originally based on the use of limestone printing plates) in which light plays an essential role. In the 1820s, Nicephore Niepce invented aphotographic process that used Bitumen of Judea, a natural asphalt, as the first photoresist. A thin coating of the bitumen on a sheet of metal, glass or stone became less soluble where it was exposed to light; the unexposed parts could then be rinsed away with a suitable solvent, baring the material beneath, which was then chemically etched in an acid bath to produce a printing plate. The lightsensitivity of bitumen was very poor and very long exposures were required, but despite the later introduction of more sensitive alternatives, its low cost and superb resistance to strong acids prolonged its commercial life into the early 20th century. In 1940, Oskar Süß created a positive photoresist by using diazo naphthoquinone, which worked in the opposite manner: the coating was initially insoluble and was rendered soluble where it was exposed to light.[1] In 1954, Louis Plambeck Jr. developed the Dycryl polymeric letterpress plate, which made the platemaking process faster. Photolithography, also termed optical lithography or UV lithography, is a process used in microfabrication to pattern parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a lightsensitive chemical "photoresist", or simply "resist," on the substrate. A series of chemical treatments then either engraves the exposure pattern into, or enables deposition of a new material in the desired pattern upon, the material underneath the photo resist. For example, in complex integrated circuits, a modern CMOS wafer will go through the photolithographic cycle up to 50 times. Photolithography shares some fundamental principles with photography in that the pattern in the etching resist is created by exposing it to light, either directly

Page | 7

LITHOGRAPHY

(without using a mask) or with a projected image using an optical mask. This procedure is

comparable to a high precision version of the method used to make printed circuit boards. Subsequent stages in the process have more in common with etching than with lithographic printing. It is used because it can create extremely small patterns (down to a few tens of nanometre in size), it affords exact control over the shape and size of the objects it creates, and because it can create patterns over an entire surface cost-effectively. Its main disadvantages are that it requires a flat substrate to start with, it is not very effective at creating shapes that are not flat, and it can require extremely clean operating conditions.

Page | 8

LITHOGRAPHY

Fig 2 - An overview structure of Photolithography

Page | 9

LITHOGRAPHY

2.2

Why is photolithography done? When a sample of crystalline silicon is covered with silicon dioxide, the oxide-layer acts as a barrier to the diffusion of impurities, so that impurities separated from the surface of the silicon by a layer of oxide do not diffuse into the silicon during high-temperature processing. A p-n junction can thus be formed in a selected location on the sample by first covering the sample with a layer of oxide [oxidation step] removing the oxide in the selected region, and then performing a predisposition and diffusion step. The selective removal of the oxide in the desired area is performed with photolithography. Thus, the areas over which diffusions are effective are defined by the oxide layer with windows cut in it, through which diffusion can take place. The windows are produced by the photolithographic process. This process is the means by which microscopically small electronic circuits and devices can be produced on silicon wafers resulting in as many as 10000 transistors on a 1 cm x 1 cm chip.

2.3

Importance of Photolithography First, due to the large number of lithography steps needed in IC manufacturing, lithography typically accounts for about 30 percent of the cost of manufacturing. Second, lithography tends to be the technical limiter for further advances in feature size reduction and thus transistor speed and silicon area. Obviously, one must carefully understand the trade-offs between cost and capability when developing a lithography process. Although lithography is certainly not the only technically important and challenging process in the IC manufacturing flow, historically, advances in lithography have gated advances in IC cost and performance.

Page | 10

LITHOGRAPHY

2.4

PROCESSING STEPS IN PHOTO-LITHOGRAPHY : A single iteration of photolithography combines several steps in sequence.

Modern cleanrooms use automated, robotic wafer track systems to coordinate the process. The procedure described here omits some advanced treatments, such as thinning agents or edge-bead removal. The general sequence of processing steps for a typical photolithography process is as follows: substrate preparation, photoresist spin coat, prebake, exposure, post-exposure bake, development, and postbake. A resist strip is the final operation in the lithographic process, after the resist pattern has been transferred into the underlying layer.

2.4.1

WAFER PREPARATION – Substrate preparation is intended to improve the adhesion of the photoresist

material to the substrate. Wafer Preparation involves three steps1- Cleaning the wafer from contamination 2-Dehydrating the wafer of solvents 3-Addition of adhesive promoter. Cleaning is when organic or inorganic contaminations are present on the wafer surface, they are usually removed by wet chemical treatment, e.g. the RCA clean procedure based on solutions containing hydrogen peroxide. Other solutions made with trichloroethylene, acetone or methanol can also be used to clean. Dehydrating of wafer -The wafer is initially heated to a temperature sufficient to drive off any moisture that may be present on the wafer surface, 150 °C for ten minutes is sufficient. Wafers that have been in storage must be chemically cleaned to remove contamination.

Addition of Adhesive Promoter -A liquid or gaseous "adhesion promoter", such as Bis (trimethylsilyl) amine ("hexamethyldisilazane", HMDS), is applied to Page | 11

LITHOGRAPHY

promote adhesion of the photoresist to the wafer. The surface layer of silicon dioxide on the wafer reacts with HMDS to form tri-methylated silicon-dioxide, a highly water repellent layer not unlike the layer of wax on a car's paint. This water repellent layer prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface, thus preventing so-called lifting of small photoresist structures in the (developing) pattern. In order to ensure the development of the image, it is best covered and placed over a hot plate and let it dry while stabilizing the temperature at 120 °C

Figure 3- WAFER PREPARATION

Page | 12

LITHOGRAPHY

2.4.2

PHOTORESIST COATING – The process of coating a thin layer of photoresist (light sensitive material) onto the silicon wafer is called photoresist coating. It comprises of two steps1- Spin coating of resist layer 2- Soft bake or Pre-bake Spin coating of Resist layer- The wafer is covered with photoresist by spin

coating. A viscous, liquid solution of photoresist is dispensed onto the wafer, and the wafer is spun rapidly to produce a uniformly thick layer. The spin coating typically runs at 1200 to 4800 rpm for 30 to 60 seconds, and produces a layer between 0.5 and 2.5 micrometres thick. The spin coating process results in a uniform thin layer, usually with uniformity of within 5 to 10 nanometres.

photoresist dispenser

vacuum chuck to vacuum pump

Fig 4:-

spindle

Photoresist Coating Apparatus

The volume of the resist dispensed and properties of the resist (such as viscosity, percent solids, and solvent composition) and the substrate (substrate material and topography) play an important role in the resist thickness uniformity. Further, practical aspects of the spin operation, such as exhaust, temperature and humidity control, and spinner cleanliness often have significant effects on the resist film.

Page | 13

LITHOGRAPHY

The photoresist spin speed curve (Figure 4) is an essential tool for setting the spin speed to obtain the desired resist thickness. The final resist thickness varies as one over the square root of the spin speed and is roughly proportional to the liquid photoresist viscosity.

Figure 5. Photoresist spins speed curves for different resist viscosities

Prebake- The silicon wafers coated with photoresist are now put into an oven at about 80°C for about 30 to 60 minutes to drive off solvents in the photoresist and to harden it into a semisolid film.

Fig 6:- Silicon wafer coated with photoresist

Page | 14

LITHOGRAPHY



PHOTORESIST – “A photoresist is a light-sensitive material used in several industrial processes, such as photolithography and photoengraving, to form a patterned coating on a surface.”

Tone Photoresists are classified into two groups: positive resists and negative resists. 

A positive resist is a type of photoresist in which the portion of the photoresist that is exposed to light becomes soluble to the photoresist developer. The portion of the photoresist that is unexposed remains insoluble to the photoresist developer.



A negative resist is a type of photoresist in which the portion of the photoresist that is exposed to light becomes insoluble to the photoresist developer. The unexposed portion of the photoresist is dissolved by the photoresist developer.

Fig 7 :- Positive and negative photoresist

Page | 15

LITHOGRAPHY

Developing light wavelength The most important light types include UV, DUV (Deep UV), and the g and I lines having wavelength of 436 nm and 365 nm respectively of a mercury-vapour lamp. This particular parameter is closely related to the thickness of the applied photoresist, with thinner layers corresponding to shorter wavelengths, permitting a reduced aspect ratio and a reduced minimum feature size.

Chemicals Used Different chemicals may be used for permanently giving the material the desired property variations: 

Poly (methyl methacrylate) (PMMA)



Poly (methyl glutarimide) (PMGI)



Phenol formaldehyde resin (DNQ/Novolac)



SU-8

Difference Between Positive And Negative Photoresist:

Characteristic

Positive

Negative

Adhesion to Silicon

Fair

Excellent

Relative Cost

More Expensive

Less Expensive

Developer Base

Aqueous

Organic

Solubility in the developer

Exposed region is soluble

Exposed region is insoluble

Mask type

Negative of target pattern

Same as target pattern Page | 16

LITHOGRAPHY

Minimum Feature

0.5 μm and below

2 μm

Step Coverage

Better

Lower

Wet Chemical Resistance

Fair

Excellent

2.4.3 ALLINGMENT AND EXPOSUREThe coated wafer, as above, is now placed in an apparatus called a mask aligner in very close proximity (about 25 to 125 micro meters) to a photomask. The relative positions of the wafer and the photomasks are adjusted such that the photomask is correctly lined up with reference marks or a pre-existing pattern on the wafer.

   PHOTOMASK – A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography. It is also called as photoreticle or reticle Lithographic photomasks are typically transparent fused silica blanks covered with a pattern defined with a chrome metal-absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (EUV), X-ray, electrons, and ions; but these require entirely new materials for the substrate and the pattern film. A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography stepper or scanner, and individually selected for exposure. In double patterning techniques, a photomask would correspond to a subset of the layer pattern.

Page | 17

LITHOGRAPHY

Fig 8 - A Photomask

In photolithography, photomask is a glass plate, typically about 125 mm square and about 2 mm thick. The photomask has a photographic emulsion or thin film metal (generally chromium) pattern on one side. The pattern has clear and opaque areas. The alignment of the photomask to the wafer is often required to be accurate to within less than 1 micro meter, and in some cases to within 0.5 micro meters. After proper alignment has been achieved, the wafer is brought into direct contact with the photomask.

Allingment means “method of printing”. There are three methods of alignment that are contact printing, proximity printing & projection printing. Contact printing-

In this printing technique, the photomask is pressed

against the resist coated wafer with a pressure typically in the range of 0.05 atm to 0.3 atm and exposure by light of wavelength near 400 micro meters. A resolution of less than 1 micro meter linewidth is possible, but it may vary across the wafer because of spatial non-uniformity of the contact. To provide better contact over the whole wafer, a thin (0.2 mm) flexible mask has been used.

Page | 18

LITHOGRAPHY

Fig 9:-

Contact Printing

Advantages of Contact Printing •

Simple process



Low Cost of manufacturing

Disadvantages of Contact Printing •

Poor resolution printing



Mask damage may occur from contact



Defects from contaminants on mask or wafer due to contacting surfaces

Proximity Printing-

In proximity or shadow printing, there exists a gap

between mask and wafer in the range of 20 to 50 micro meters. This has the advantage of longer mask life because there is no contact between the mask and the wafer. In the proximity printing, the mask and wafer are both placed in an equipment called a projection aligner. Looking through a microscope, an operator brings the mask into close proximity [say 10 to 20 micro meters] to the wafer and properly aligns the wafer and mask using alignment mark on the mask and the Page | 19

LITHOGRAPHY

wafer. UV light is then projected through the mask on to the entire resist coated wafer at one time. This mask that is used is a full wafer x 1 mask. The resolution of this process is a function of the wavelength of the light source and the distance between the mask and the wafer. Typically, the resolution of proximity printing is 2 to 4 micro meter and is therefore not suitable for a process requiring less than a 2 um minimum line width.

Fig 10 – Proximity Printing

Advantages of Proximity Printing •

Mask damage is minimal



Good registration possible

Disadvantages of Proximity Printing•

Poorer resolution due to distance from the surface



Defects from contaminants on mask or wafer due to contacting surfaces



Diffraction errors

Projection Printing-

In this case the image is actually projected with the

help of a system of lenses, onto the wafer. The mask can be used a large number of Page | 20

LITHOGRAPHY

times, substantially reducing the mask cost per wafer. Theoretically a mask can be used an unlimited-number of times, but actual usage is limited to about 100,000 times because the mask must be cleaned due to dust accumulation, and it is scratched at each cleaning. This is costliest of the conventional systems, however mask life is good, and resolution obtained is higher than proximity printing together with large separation between mask and wafer.

Fig 11 :- Projection Printing

Advantages of Projection Printing •

Higher resolution



Lens system reduces diffraction error



Masks could be used larger number of times



Resolution is high

Disadvantages of Projection Printing •

Equipment are expensive Page | 21

LITHOGRAPHY



Lower throughput.

Exposure- The process of exposing the silicon wafer coated with photoresist with radiant energy (UV radiation) is called exposure. A highly collimated ultraviolet (UV) light is then turned on and the areas of the silicon wafer that are not covered by the opaque areas of the photomask are exposed to ultraviolet radiation, as shown in the figure. The exposure time is generally in the range 3 to 10 seconds and is carefully controlled such that the total UV radiation dosage in watt-seconds or joules is of the required amount. The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. Positive photoresist, the most common type, becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are soluble in the developer

Fig 12- Exposure of UV Radiation Through Mask On Wafer

2.4.4 DEVELOPMENTThe process of dissolving the photoresist in a solution generally known as developer solution is known as development. The developing stage comprises of two steps1- Developing the wafer by dipping it into developing solution

Page | 22

LITHOGRAPHY

2- Post bake or Hard Bake

Developing- The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. Positive photoresist, the most common type, becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are soluble in the developer. Two types of photoresist exist- negative photoresist and positive photoresist. In the present description negative photoresist is used in which the areas of the photoresist that are exposed the ultraviolet radiation become polymerized. The polymerization process increases the length of the organic chain molecules that make up the photoresist. This makes the resist tougher and makes it essentially insoluble in the developer solution. The resisting photoresist pattern after the development process will therefore be a replication of the photomask pattern, with the clear areas on the photomask corresponding to the areas where the photoresist remains on the wafers, as shown in the figure below. An opposite type of process occurs with positive photoresist. Exposure to UV radiation results in depolymerization of the photoresist. This makes these exposed areas of the photoresist readily soluble in the developer solution, whereas the unexposed areas are essentially insoluble. The developer solution will thus remove the exposed or depolymerized regions of the photoresist, whereas the unexposed areas will remain on the wafer. Thus again there is a replication of the photomask pattern, but this time the clear areas of the photomask produce the areas on the wafer from which the photoresist has been removed.

Page | 23

LITHOGRAPHY

developer dispenser

vacuum chuck spindle

Fig 13- The developing solution dispenser

The develop chemistry is delivered on a spinner, much like photoresist. Developers originally often contained sodium hydroxide (NaOH). However, sodium is considered an extremely undesirable contaminant in MOSFET fabrication because it degrades the insulating properties of gate oxides (specifically, sodium ions can migrate in and out of the gate, changing the threshold voltage of the transistor and making it harder or easier to turn the transistor on over time). Metal-ion-free developers such as tetramethyl ammonium hydroxide (TMAH) are now used. Post Bake- also known as hard bake. The resulting wafer is then "hardbaked" if a non-chemically amplified resist was used, typically at 120 to 180 °C for 20 to 30 minutes. The hard bake solidifies the remaining photoresist, to make a more durable protecting layer in future ion implantation, wet chemical etching, or plasma etching.

Fig 14-

Wafer after development

Page | 24

LITHOGRAPHY

2.4.5

ETCHINGIn etching, a liquid ("wet") or plasma ("dry") chemical agent removes the

uppermost layer of the substrate in the areas that are not protected by photoresist. In semiconductor fabrication, dry etching techniques are generally used, as they can be made anisotropic, in order to avoid significant undercutting of the photoresist pattern. This is essential when the width of the features to be defined is similar to or less than the thickness of the material being etched. Wet etch processes are generally isotropic in nature, which is often indispensable for microelectromechanical systems, where suspended structures must be "released" from the underlying layer. The development of low-defectivity anisotropic dry-etch process has enabled the ever-smaller features defined photo lithographically in the resist to be transferred to the substrate material. For etching of oxide, the wafers are immersed in or sprayed with a hydrofluoric [HF] acid solution. This solution is usually a diluted solution of typically 10: 1, H2O: HF, or more often a 10: 1 NH4F [ammonium fluoride]: HF solution. The HF solutions will etch the SiO2 but will not attack the underlying silicon, nor will it attack the photoresist layer to any appreciable extent. The wafers are exposed to the etching solution ion enough to remove the SiO2 completely in the areas of the wafer that are not covered by the photoresist. The duration of oxide etching should be carefully controlled so that all of the oxide present only in the photoresist window is removed. If etching time is excessively prolonged, it will result in more undercutting underneath the photoresist and widening of the oxide. The oxide etching process is termed wet etching process . A newer process for oxide etching is a dry etching process called plasma etching.

Page | 25

LITHOGRAPHY

Fig 15- etched wafer 2.4.6

STRIPPING-

After a photoresist is no longer needed, it must be removed from the substrate. This usually requires a liquid "resist stripper", which chemically alters the resist so that it no longer adheres to the substrate. Alternatively, photoresist may be removed by a plasma containing oxygen, which oxidizes it. This process is called ashing, and resembles dry etching. Use of 1-Methyl-2-pyrrolidone (NMP) solvent for photoresist is another method used to remove an image. When the resist has been dissolved, the solvent can be removed by heating to 80 °C without leaving any residue The remaining resist is finally removed or stripped off with a mixture of sulphuric acid and hydrogen peroxide and with the help of abrasion process. Finally, a step of washing and drying completes the required window in the oxide layer.

Fig 16- The final obtained wafer

Page | 26

LITHOGRAPHY

Page | 27

LITHOGRAPHY

2.5 ADVANTAGES & DISADVANTAGES OF PHOTOLITHOGRAPHY Advantages •

Photolithography can etch a pattern into an integrated circuit with a single beam of

• • •

ultraviolet light and does not require any additional materials. Photolithography is highly efficient Photolithography is cost-effective Photolithography controls the exact size and shape of the entire substrate.

Disadvantages •

Photolithography requires a completely flat substrate in order to produce effective



patterns. It is not efficient at producing objects that are not flat. Photolithography requires extremely clean conditions that are void of all contaminants, liquids, and environmental hazards.

Page | 28

LITHOGRAPHY

3

ELECTRON BEAM LITHOGRAPHY Electron-beam lithography ( e-beam lithography) is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electronsensitive film called a resist ("exposing"). The electron beam changes the solubility of the resist, enabling selective removal of either the exposed or non-exposed regions of the resist by immersing it in a solvent ("developing"). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. The primary advantage of electron-beam lithography is that it can draw custom patterns (direct-write) with sub-10 nm resolution. This form of maskless lithography has high resolution and low throughput, limiting its usage to photomask fabrication, lowvolume production of semiconductor devices, and research and development.

Fig 17- Electrom beam lithography

Page | 29

LITHOGRAPHY

Electron-beam lithography provides better resolution then photolithography. This is possible because of small wavelength of the 10-50 KeV electrons. The pattern writing is in serial form. Therefore, the throughput is much less than for optical systems.

Electron sources Lower-resolution systems can use thermionic sources, which are usually formed from lanthanum hexaboride. However, systems with higher-resolution requirements need to use field electron emission sources, such as heated W/ZrO2 for lower energy spread and enhanced brightness. Thermal field emission sources are preferred over cold emission sources, in spite of the former's slightly larger beam size, because they offer better stability over typical writing times of several hours. The EBES machine, as stated earlier, has proved to be the best photomask pattern generator. Scanning electron-beam pattern generators are similar to scanning electron microscopes, from which they are derived. A basic probe-forming electron optical system may consist of two or more magnetic lenses and provisions for scanning the image and blanking the beam on the wafer image plane. Typical image spot sizes are in the range from 0.1 to 2 micro meters. This is for from the diffraction limits. Hence diffraction can be ignored. However, aberrations of the final lens and of the deflection system will increase the size of the spot and can change its shape as well. Advantages 1. Scale Patterning is possible at a resolution of ~20nm with e-beam lithography. This compares to a resolution of ~1micron for conventional photolithography. 2. Masks No physical mask-plates are needed unlike photolithography, thus eliminating costs and time delays associated with mask production. Patterns can be optimised and changed very simply using flexible

Page | 30

LITHOGRAPHY

Disadvantages 1. Time The electron beam must be scanned across patterned areas pixel by pixel. Exposures can therefore take many hours to complete. 2. Fabrication Conventional fabrication techniques such as metal lift-off and etching can become difficult at sub-micron length scales. 3. Cost and Maintenance EBL systems are generally expensive and highly complex machines requiring substantial maintenance.

X-RAY LITHOGRAPHY

4

X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

X-ray

Beryllium

Gold

Photoresist Substrate

Fig 18 X-Ray LIthography

The photolithography has its resolution limited by diffraction effects. To improve the resolution, therefore, the diffraction effects are reduced by reducing the wavelength. However, if the wavelength is reduced further, all optical materials Page | 31

LITHOGRAPHY

become opaque because of the fundamental absorption, but transmission increases again in the X-ray region. This led to the requirement of X-rays for lithography purpose. In X-ray lithography an X-ray source illuminates a mask, which casts shadows on to a resist-covered wafer. The mask and resist material for X-ray lithography are mainly determined by the absorption spectra of these materials in the X-ray region. The mask consists of an X-ray absorber, typically of gold or compounds of tantalum or tungsten, on a membrane that is transparent to X-rays, typically of silicon

carbide or diamond. The pattern on the mask is written by direct-write electron beam lithography onto a resist that is developed by conventional semiconductor processes. The membrane can be stretched for overlay accuracy. Advantages •

Little diffraction effects when using such small wavelength.



No backscatter or reflections.



Very large depth of focus.



Simpler than optical or e-beam lithography. All needed is an x-ray source and an xray mask

Disadvantages •

X-ray mask difficult to fabricate with many issues: fragile, defects, aspect ratio, bending due to heating.



Strong, stable, collimated, single frequency x-ray sources are hard to find.



Typical resist is insensitive, need long time to expose.

Page | 32

LITHOGRAPHY

5

ION BEAM LITHOGRAPHY

Ion beam lithography is the practice of scanning a focused beam of ions in a patterned fashion across a surface in order to create very small structures such as integrated circuits or other nanostructures. Ion beam lithography has been found to be useful for transferring highfidelity patterns on three-dimensional surfaces. Ion beam lithography offers higher resolution patterning than UV, X-ray, or electron beam lithography because these heavier particles have more momentum. This gives the ion beam a smaller wavelength than even an e-beam and therefore almost no diffraction. The momentum also reduces scattering in the target and in any residual gas. There is also a reduced potential radiation effect to sensitive underlying structures compared to x-ray and e-beam lithography.

Fig 19 Ion Beam Lithography

Page | 33

LITHOGRAPHY

Ion-beam lithography, when used to expose resist, provides higher resolution than that possible with an electron-beam because of less scattering. Also, resists are more sensitive to ions than to electrons. A unique feature of ion-beam is that there is the possibility of wafer processing without resists if it is used to implant or sputter selected areas of the wafer. The most important application is repair of photomask, a task for which commercial systems are available. Ion-lithography employs a scanning focussed-beam or a masked-beam. The problems of ion-optics for scanning ion beams are more severe than for electron optics. The source of ionized material is a gas surrounding a pointed tungsten tip or a liquid metal that flows to the tip from a reservoir. Electrostatic lenses rather than magnetic are used for focussing ion beams. If a magnetic lens were used, the field would have to be much larger than in the electron optics case. Electrostatic optical systems generally have higher aberrations, necessitating small aperture and small scan fields. Advantages    

It has high exposure sensitivity. It has less scattering of ion beam source It has higher resolution of pattern It helps in repairing of photomask

Disadvantage   

Lower throughput May damage the substrate It is a practically slow process

Page | 34

View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF