Lab 1

August 29, 2017 | Author: Iván Darío Yaber Manotas | Category: Logic Gate, Vhdl, Electronics, Logic, Mathematics
Share Embed Donate


Short Description

Download Lab 1...

Description

Lógica Digital – Laboratorio 1

1

Laboratorio 1 – Diseño de Circuitos Combinacionales Dalmiro Barrios (Cod. 200023586 , [email protected]) – Alvaro Acevedo (200024118 , [email protected]), Iván Yaber (Cód. 200023903, [email protected]) 

Resumen—En el presente documento, se pretende diseñar, construir y analizar circuitos de lógica combinacional, aplicando herramientas de minimización digital para llevarlo a cabo con la menor cantidad de compuertas posible. Se implementarán las compuertas de drenador (colector) común y disparador Smith, de manera que se pueda estudiar su funcionamiento. Se contara con la ayuda de simulaciones en VHDL de algunos de los circuitos resultantes. Index Terms— Palabras Claves. Lógica combinacional, drenador común. Disparador Smith, VHDL.

I.

II.

PROCEDIMIENTO, ANÁLISIS

Parte I Circuito de control para encendido automático de luces. Teniendo en cuenta los requerimientos del cliente y el diagrama que se muestra en la figura 1, resultan las consideraciones mostradas en las tablas 1, 2 y 3.

INTRODUCCIÓN

Un circuito que implementa la conexión de compuertas lógicas entre sí, con el fin de obtener cierta salida, utilizando combinaciones de niveles de entrada determinados, sin que se produzca almacenamiento de algún tipo, constituye lo que se conoce como lógica combinacional, en la cual sus salidas son función exclusiva de sus entradas para cierto momento. Siguiendo con lo anterior, las funciones OR, AND, NAND, XOR son booleanas, por lo que pueden ser representadas en una tabla de verdad, lo que indica por tanto, que carecen de memoria y retroalimentación. La lógica combinacional está constituida por ecuaciones relativamente simples, a partir de operaciones del algebra booleana. Por otro lado, los circuitos combinacionales pueden simbolizarse usando el algebra booleana partiendo de su función lógica, logrando así generar de forma matemática su funcionamiento, la señales en la entrada pueden tomarse como variables de la ecuación lógica de salida,

Figura 1

Para identificar el cambio de luz entre el día y la noche se utiliza una fotocelda, cuya respuesta de acuerdo al datasheet, está representada en la tabla 1. Día 1

Noche 0 Tabla 1

Luego, tenemos el Switch para activar la luz de la casa en cualquier momento, su respuesta se muestra en la tabla 2

Lógica Digital – Laboratorio 1

ON 0

OFF 1

Tabla2

Por otro lado, para verificar la presencia del carro en el garaje, se dispone del sensor (CNY70), para lo cual se establece una respuesta lógica, que se muestra en la tabla 3. Carro 0

No carro 1

Tabla3

A continuación, se muestran las tablas de verdad y los mapas de Karnaugh; una tabla representa el circuito de la casa, y la otra el del garaje.

2

A\B 0 1

0 0 1

1 1 1

Del mapa de Karnaugh anterior, se obtiene la función:

Una de las exigencias de la práctica, es realizar el arreglo de compuertas solamente con compuertas NAND y NOT’s, por lo tanto el circuito diseñado es como se ilustra en la figura 2:

Para A y S A 0 0 1 1

S 0 1 0 1

X 0 0 0 1

Se procede a realizar el mapa de Karnaugh: A\S 0 1

0 0 0

1 0 1

Este mapa de Karnaugh, da como resultado la siguiente función:

Para A y B A 0 0 1 1

B 0 1 0 1

Y 0 1 1 1

Figura 2

El diagrama de temporización para este circuito lógico se muestra a continuacion

Lógica Digital – Laboratorio 1

El montaje físico de dicho dispositivo se observa a continuación:

3

compuerta Smith Trigger, lo que resulta que en R el voltaje debe ser 1.6V para VTH y 0.7V para VTL. Ahora, por ley de nodos calculamos primero Rmín:

Luego Rmáx:

Para el diseño del circuito, se tuvo en cuenta el procedimiento que se indica en la guía de laboratorio: La foto celda es representada por Rf. Esta resistencia toma valores dependiendo de la presencia o no presencia de luz. Para calcular el valor de R se utilizaron los valores máximos y mínimos de Rf que se pueden hallar en el datasheet.

En la figura 3, se puede observar la sección del circuito donde se hacen los cálculos de R.

En este orden de ideas, es importante mencionar el porqué de la escogencia de cada uno de los elementos que componen nuestro circuito. En primera instancia, las compuertas NOT y NAND , de referencia HD74LS14P y HD74LS01P respectivamente, la compuerta NOT, de dicha referencia se seleccionó debido a que presenta característica Smith Trigger, de manera que usa la histéresis para prevenir el ruido que podría sumarse a la señal original y que causaría los no deseados falsos cambios de estado si los niveles de referencia de entrada son muy parecidos, lo anterior se debe a que las compuertas Smith Trigger presentan una zona de incertidumbre reducida, cuyo es según la hoja de datos del fabricante aproximadamente 0,7 V, y su 1,6 V . A continuación se puede apreciar cómo están dispuestos internamente cada uno de los encapsulados. HD74LS14P

Figura 3

Por otra parte, R debe ser tal que la caída en ésta tenga un cambio mayor a la histéresis de la

Figura 4

Lógica Digital – Laboratorio 1

HD74LS01P

4

Parte II Circuito de control de flujo de agua para llenado de un tanque Se desea diseñar un circuito combinacional que controle el flujo de agua en un tanque, de acuerdo a consideraciones que se encuentran consignadas en la guía que se encuentra como anexo.

Figura 5

Continuando, el encapsulado de compuertas NAND, arroja a la salida una corriente máxima de 8 mA, razón por la cual se vio necesario la utilización de un búfer, ya que los relevos implementados con las condiciones de resistencia de nuestro diseño necesitan al menos 40 mA para activarse, dicho búfer de referencia SN74LS06N con salida de alto voltaje de colector abierto, el cual ofrece una corriente máxima de 50 mA, por lo cual es útil en nuestro diseño. La corriente de alimentación para los relevos, se halló de manera empírica ante la falta de datos del respectivo datasheet. La disposición del seguidor (búfer) se puede observar en la siguiente figura:

Figura 6

Finalmente, cabe resaltar que se recurrió a 2 resistencias en cada una de las salidas del circuito combinacional por cuestiones de seguridad en lo que se refiere a la disipación de potencia, cada una de 1 KΩ.

Para cumplir con cada una de las exigencias, y saber cuál es el circuito combinacional (con menor número de compuertas) a utilizar se realiza la tabla de verdad (Tabla 4) que se muestra a continuación: TEMPERATUR A

NIVEL

SALIDAS (ACTIVOS EN BAJO)

N3

N2

N1

T2

T1

V3

V2

V1

0

0

0

0

0

1

0

0

0

0

0

0

1

1

0

0

0 0

0 0

0 0

1 1

0 1

1 1

0 0

0 0

0

0

1

0

0

1

0

1

0

0

1

0

1

1

1

1

0

0

1

1

0

1

1

1

0

0

1

1

1

1

1

0

0 0

1 1

0 0

0 0

0 1

1 1

0 1

1 1

0

1

0

1

0

1

1

1

0

1

0

1

1

1

1

0

0

1

1

0

0

1

0

1

0

1

1

0

1

1

1

1

0 0

1 1

1 1

1 1

0 1

1 1

1 1

1 0

1

0

0

0

0

1

0

1

1

0

0

0

1

1

1

1

1

0

0

1

0

1

1

1

1

0

0

1

1

1

1

0

1 1

0 0

1 1

0 0

0 1

1 1

0 1

1 1

1

0

1

1

0

1

1

1

1

0

1

1

1

1

1

0

1

1

0

0

0

1

0

1

1

1

0

0

1

1

1

1

1 1

1 1

0 0

1 1

0 1

1 1

1 1

1 0

1

1

1

0

0

0

1

1

1

1

1

0

1

0

1

1

1 1

1 1

1 1

1 1

0 1

0 0

1 1

1 1

Tabla 4

Lógica Digital – Laboratorio 1

La Tabla 4, permite construir los mapas de Karnaugh para cada una de las salidas y asi llegar a la representación matemática del circuito lógico a efectuar. Como la tabla de verdad lleva 5 entradas, el mapa de Karnaugh se lleva a cabo de esta forma:



Para N3 = 1

N2N1\T2T1 00 01 11 10 00 1 1 1 01 1 1 1 11 1 1 1 1 10 1 1 1

Salida V3 

Para N3 = 0

N2N1\T2T1 00 01 11 10



00 01 11 10 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

Para N3 = 1

N2N1\T2T1 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 10 1 1 1 1

Logrando:

Salida V3 

Para N3 = 0

N2N1\T2T1 00 01 11 10 00 01 1 1 1 11 1 1 1 10 1 1 1

Con lo que obtenemos: 

Salida V2 

Para N3 = 1

N2N1\T2T1 00 01 11 10 00 1 1 1 01 1 1 1 11 1 1 1 1 10 1 1 1

Para N3 = 0 Y se obtiene:

N2N1\T2T1 00 01 11 10 00 01 1 1 1 11 1 1 1 10 1 1 1

5

Lógica Digital – Laboratorio 1

A continuación, se encuentra el circuito resultante:

6

encendido), la salida X es 0, es decir, se debe encender la lámpara principal; todo esto, puede evidenciarse si se analiza caso por caso la gráfica obtenida luego de la simulación. Lo mismo se cumple para la salida Y, lo que valida el montaje y el proceso de diseño del circuito lógico combinacional. Es importante resaltar nuevamente la escogencia de compuertas Smith Trigger en la entrada, ya que con éste, se evita que la señal se tome a un nivel lógico inapropiado, lo que sin duda puede ocasionar problemas en el funcionamiento del circuito. Por otro lado, para el circuito de control de flujo de agua para llenar un tanque, si se analiza el diagrama de temporización, es fácil notar que la salida correspondiente a cada combinación de entradas se ajusta a la diseñada y esperada, lo que es signo inequívoco del correcto diseño del circuito encargado de controlar la lógica de la aplicación.

Para el cual su diagrama de temporización es:

Conclusiones De la anterior experiencia, se puede concluir en primera instancia, que los circuitos lógicos combinacionales son esenciales para la resolución de problemas diarios relativamente sencillos, solo basta con establecer las variables de entrada correctamente y de acuerdo a la finalidad del circuito, crear las salidas deseadas.

Análisis de resultados Teniendo en cuenta el diseño realizado y la simulación efectuada usando la herramienta Quartus, se puede decir que existe concordancia entre los resultados obtenidos en esta última y la tabla de verdad base para llevar a cabo la construcción del circuito. Lo anterior puede afirmarse si se compara para cada valor en la entrada, su respectiva salida. Por ejemplo, como las salidas son activas en bajo, para ambas entradas A y S de cero (Noche, interruptor en

Por otro lado, la inclusión en el circuito de compuertas Smith Trigger a la entrada permite mejorar la variación de las entradas debido a componentes indeseables que podemos llamar ruido, dichas compuertas evitaban que la señal estuviese en un rango de valores de incertidumbre lógica. Finalmente, la estabilidad, exactitud y precisión de las variables físicas de los circuitos, pueden verse alteradas por condiciones externas a ellos como la temperatura, la humedad, entre otras, que pueden provocar cambios con respecto a las presentadas en la hoja del fabricante. La tensión de alimentación, el ruido son agentes internos de los circuitos que también pueden provocar dichas fluctuaciones (mínimas) en los valores esperados.

Lógica Digital – Laboratorio 1

REFERENCIAS [1] Thomas L. Floyd, Fundamentos de sistemas digitales, Novena edicion ed., Miiguel Martin Romo, Ed.: Prentice Hall, 2006. [2] John F. Wakerly, Diseno Digital, Principios y Practicas, Cuarta edicion ed.

7

View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF