Handbook of Deposition Technologies for Films and Coatings

March 27, 2017 | Author: Jaewon Lee | Category: N/A
Share Embed Donate


Short Description

deposition, coating, 증착, 진공, 코팅...

Description

HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS Science, Technology and Applications

Second Edition Edited by

Rointan F. Bunshah University of California at Los Angeles Los Angeles, California

np

NOYES PUBLICATIONS Park Ridge, New Jersey, U.S.A.

Copyright © 1994 by Noyes Publications No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording or by any information storage and retrieval system, without permission in writing from the Publisher. Library of Congress Catalog Card Number: 93-30751 ISBN: 0-8155-1337-2 Printed in the United States Published in the United States of America by Noyes Publications Mill Road, Park Ridge, New Jersey 07656 10 9 8 7 6 5 4 3 2 1

Library of Congress Cataloging-in-Publication Data Handbook of deposition technologies for films and coatings / edited by Rointan F. Bunshah. -- 2nd ed. p. cm. Rev. ed of: Deposition technologies for films and coatings. c1982. Includes bibliographical references and index. ISBN 0-8155-1337-2 1. Coating processes. I. Bunshah, R. F. (Rointan Framroze) II. Title: Deposition technologies for films and coatings. TP156.C57H38 1994 667' .9--dc20 9 3 -30751 CIP

DEDICATION

This volume is dedicated to Professor John Thornton for his many pioneering contributions to thin film science and technology which have inspired so many of the scientists and engineers working in this field.

vii

MATERIALS SCIENCE AND PROCESS TECHNOLOGY SERIES Editors Rointan F. Bunshah, University of California, Los Angeles (Series Editor) Gary E. McGuire, Microelectronics Center of North Carolina (Series Editor) Stephen M. Rossnagel, IBM Thomas J. Watson Research Center (Consulting Editor)

Electronic Materials and Process Technology HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS, Second Edition: edited by Rointan F. Bunshah CHEMICAL VAPOR DEPOSITION FOR MICROELECTRONICS: by Arthur Sherman SEMICONDUCTOR MATERIALS AND PROCESS TECHNOLOGY HANDBOOK: edited by Gary E. McGuire HYBRID MICROCIRCUIT TECHNOLOGY HANDBOOK: by James J. Licari and Leonard R. Enlow HANDBOOK OF THIN FILM DEPOSITION PROCESSES AND TECHNIQUES: edited by Klaus K. Schuegraf IONIZED-CLUSTER BEAM DEPOSITION AND EPITAXY: by Toshinori Takagi DIFFUSION PHENOMENA IN THIN FILMS AND MICROELECTRONIC MATERIALS: edited by Devendra Gupta and Paul S. Ho HANDBOOK OF CONTAMINATION CONTROL IN MICROELECTRONICS: edited by Donald L. Tolliver HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: edited by Jerome J. Cuomo, Stephen M. Rossnagel, and Harold R. Kaufman CHARACTERIZATION OF SEMICONDUCTOR MATERIALS, Volume 1: edited by Gary E. McGuire HANDBOOK OF PLASMA PROCESSING TECHNOLOGY: edited by Stephen M. Rossnagel, Jerome J. Cuomo, and William D. Westwood HANDBOOK OF SEMICONDUCTOR SILICON TECHNOLOGY: edited by William C. O’Mara, Robert B. Herring, and Lee P. Hunt HANDBOOK OF POLYMER COATINGS FOR ELECTRONICS, 2nd Edition: by James Licari and Laura A. Hughes HANDBOOK OF SPUTTER DEPOSITION TECHNOLOGY: by Kiyotaka Wasa and Shigeru Hayakawa HANDBOOK OF VLSI MICROLITHOGRAPHY: edited by William B. Glendinning and John N. Helbert CHEMISTRY OF SUPERCONDUCTOR MATERIALS: edited by Terrell A. Vanderah CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN SILICIDES: by John E. J. Schmitz ELECTROCHEMISTRY OF SEMICONDUCTORS AND ELECTRONICS: edited by John McHardy and Frank Ludwig

v

vi

Contents Series

HANDBOOK OF CHEMICAL VAPOR DEPOSITION: by Hugh O. Pierson DIAMOND FILMS AND COATINGS: edited by Robert F. Davis ELECTRODEPOSITION: by Jack W. Dini HANDBOOK OF SEMICONDUCTOR WAFER CLEANING TECHNOLOGY: edited by Werner Kern CONTACTS TO SEMICONDUCTORS: edited by Leonard J. Brillson HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED CIRCUITS: edited by Syd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr. HANDBOOK OF CARBON, GRAPHITE, DIAMONDS AND FULLERENES: by Hugh O. Pierson

Ceramic and Other Materials—Processing and Technology SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, PREFORMS, ELECTRONICS AND SPECIALTY SHAPES: edited by Lisa C. Klein FIBER REINFORCED CERAMIC COMPOSITES: edited by K. S. Mazdiyasni ADVANCED CERAMIC PROCESSING AND TECHNOLOGY, Volume 1: edited by Jon G. P. Binner FRICTION AND WEAR TRANSITIONS OF MATERIALS: by Peter J. Blau SHOCK WAVES FOR INDUSTRIAL APPLICATIONS: edited by Lawrence E. Murr SPECIAL MELTING AND PROCESSING TECHNOLOGIES: edited by G. K. Bhat CORROSION OF GLASS, CERAMICS AND CERAMIC SUPERCONDUCTORS: edited by David E. Clark and Bruce K. Zoitos HANDBOOK OF INDUSTRIAL REFRACTORIES TECHNOLOGY: by Stephen C. Carniglia and Gordon L. Barna CERAMIC FILMS AND COATINGS: edited by John B. Wachtman and Richard A. Haber

Related Titles ADHESIVES TECHNOLOGY HANDBOOK: by Arthur H. Landrock HANDBOOK OF THERMOSET PLASTICS: edited by Sidney H. Goodman SURFACE PREPARATION TECHNIQUES FOR ADHESIVE BONDING: by Raymond F. Wegman FORMULATING PLASTICS AND ELASTOMERS BY COMPUTER: by Ralph D. Hermansen HANDBOOK OF ADHESIVE BONDED STRUCTURAL REPAIR: by Raymond F. Wegman and Thomas R. Tullos CARBON–CARBON MATERIALS AND COMPOSITES: edited by John D. Buckley and Dan D. Edie CODE COMPLIANCE FOR ADVANCED TECHNOLOGY FACILITIES: by William R. Acorn

Contributors

Rointan F. Bunshah Department of Materials Science and Engineering University of California at Los Angeles Los Angeles, California Jan-Otto Carlsson Department of Chemistry Upsala University Upsala, Sweden Joseph E. Greene Coordinated Science Laboratory University of Illinois at UrbanaChampaign Urbana, Illinois Bret L. Halpern Jet Process Corporation New Haven, Connecticut

Donald M. Mattox Society of Vacuum Coaters Albuquerque, New Mexico Gary E. McGuire Microelectronics Center of North Carolina Research Triangle Park, North Carolina Jerome C. Schmitt Jet Process Corporation New Haven, Connecticut Morton Schwartz Electrochemical/Metal Finishing Consultant Los Angeles, California Arthur Sherman Consultant Palo Alto, California

xiii

xiv

Contents Contributors

John A. Thornton* Coordinated Science Laboratory University of Illinois at UrbanaChampaign Urbana, Illinois *

Robert C. Tucker, Jr. Praxair Surface Technologies, Inc. Indianapolis, Indiana

Professor Thornton died unexpectedly in November, 1987.

NOTICE To the best of our knowledge the information in this publication is accurate; however the Publisher does not assume any responsibility or liability for the accuracy or completeness of, or consequences arising from, such information. This book is intended for informational purposes only. Mention of trade names or commercial products does not constitute endorsement or recommendation for use by the Publisher. Final determination of the suitability of any information or product for use contemplated by any user, and the manner of that use, is the sole responsibility of the user. We recommend that anyone intending to rely on any recommendation of materials or procedures mentioned in this publication should satisfy himself as to such suitability, and that he can meet all applicable safety and health standards.

Preface to the Second Edition

A decade after the first edition of this volume was published, a second edition is being brought out partly due to the excellent response to the first edition and also to update the many improvements in deposition technologies, the mechanisms and applications. The entire volume has been extensively revised and contains 50% or more new material. Five entirely new chapters have been added. The organization of the book has also been changed in the following respects: 1. Considerably more material has been added in Plasma Assisted Vapor Deposition Processes. 2. A new chapter on Metallurgical Coating Applications has been added. The chapter in the first edition on Polymeric Coating techniques has been omitted as it deserves a volume by itself. Large topics such as coatings technology in microelectronics, diamond films, etc., have been treated in separate volumes in this series. Although there are some new competing volumes dealing with selected topics on the materials science of thin films, this volume remains the only comprehensive treatment of the entire subject of Deposition Technology. Applications of films and coatings spans the entire gamut of science and technology. Generic application areas include electronic, magnetic, optical, mechanical, chemical and decorative applications. New deposition technologies such as arc evaporation, unbalanced magnetron sputtering, ion beam assisted deposition, and metal-organic CVD have come on stream for critical applications. In this post cold war era, many economic solutions to engineering problems will necessarily involve coatings, e.g., battery materials for the emerging electric car industry.

ix

x

Preface Contents

The core subjects are the basic technologies for the deposition of films and coatings. These are the Physical Vapor Deposition (PVD) Processes consisting of Evaporation, Sputtering, and Ion Plating; Chemical Vapor Deposition (CVD) and Plasma-Assisted Chemical Vapor Deposition (PACVD); Electrodeposition and Electroless Plating; Thermal Spraying, Plasma Spraying and Detonation Gun Technologies. Chapters on other subjects common to the above technologies are included. These are: Adhesion of Coatings, Cleaning of Substrates, Role of Plasmas in Deposition Processes, Structure of PVD Deposits, Growth and Structure of PVD Films, Mechanical and Tribological Properties of PVD Deposits, Elemental and Structural Characterization Techniques, and Metallurgical Coatings. A relatively new development, Jet Vapor Deposition Process, was added as the last chapter in the book during the page proof stage because of its novelty. We hope that this volume will be useful to the multitude of disciplines represented by the workers in this field and provide a source for future developments. University of California Los Angeles, California June, 1993

Rointan F. Bunshah

Preface to the First Edition

Almost universally in high technology applications, a composite material is used where the properties of the surface are intentionally different from those of the core. Thus, materials with surface coatings are used in the entire crosssection of applications ranging from microelectronics, display devices, chemical corrosion, tribology including cutting tools, high temperature oxidation/ corrosion, solar cells, thermal insulation and decorative coatings (including toys, automobile components, watch cases, etc.). A large variety of materials is used to produce these coatings. They are metals, alloys, refractory compounds (e.g., oxides, nitrides, carbides), intermetallic compounds (e.g., GaAg) and polymers in single or multiple layers. The thickness of the coatings ranges from a few atom layers to millions of atom layers. The microstructure and hence the properties of the coatings can be varied widely and at will, thus permitting one to design new material systems with unique properties. (A material system is defined as the combination of the substrate and coating.) Historically, coating technology evolved and developed in the last 30 years in several industries, i.e., decorative coatings, microelectronics and metallurgical coatings. They used similar techniques but only with the passage of time have the various approaches reached a common frontier resulting in much useful cross-fertilization. That very vital process is proceeding ever more strongly at this time. With this background in mind, a short course on Deposition Technologies and their applications was developed and given on five consecutive occasions in the last three years. This volume is based on the material used in the course.

xi

xii

It comprises chapters dealing with the various coating techniques, the resulting microstructure, properties and applications. The specific techniques covered are evaporation, ion plating, sputtering, chemical vapor deposition, electrodeposition from aqueous solution, plasma and detonation gun coating techniques, and polymeric coatings. In addition several other chapters are added. Plasmas are used in many of the deposition processes and therefore a special chapter on this topic has been added. Cleaning of the substrate and the related topic of adhesion of the coating are common to many processes and a brief exposé of this topic is presented. Characterization of the films, i.e., composition, impurities, crystal structure and microstructure are essential to the understanding of the various processes. Two chapters dealing with this area are included. Finally, a chapter on application of deposition techniques in microelectronics is added to give one example of the use of several of these techniques in a specific area. This volume represents a unique collection of our knowledge on Deposition Technologies and their applications up to and including the state-of-the-art. It is hoped that it will be very useful to students, practicing engineers and managerial personnel who have to learn about this essential area of modern technology. University of California Los Angeles, California April 1982

R. F. Bunshah

Contents

xv

Contents

1

Deposition Technologies: An Overview ....................... 27 Rointan F. Bunshah

1.0 2.0 3.0 4.0 5.0

THE MARKET .............................................................................. 27 INTRODUCTION ........................................................................... 28 AIM AND SCOPE ......................................................................... 30 DEFINITIONS AND CONCEPTS ................................................... 31 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS TERMINOLOGY ........................................................................... 32 6.0 CLASSIFICATION OF COATING PROCESSES ........................... 34 7.0 GAS JET DEPOSITION WITH NANO-PARTICLES ....................... 36 8.0 MICROSTRUCTURE AND PROPERTIES ..................................... 38 9.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS IN UNDERSTANDING ................................................................... 40 10.0 CURRENT APPLICATIONS .......................................................... 41 10.1 Decorative/Functional Coating ............................................. 41 10.2 High Temperature Corrosion ................................................ 42 10.3 Environmental Corrosion ..................................................... 42 10.4 Friction and Wear ............................................................... 42 10.5 Materials Conservation ........................................................ 43 10.6 Cutting Tools ...................................................................... 43 10.7 Nuclear Fuels ..................................................................... 44 10.8 Biomedical Uses ................................................................. 44

xv

xvi

Contents

10.9 Electrical Uses ................................................................... 44 11.0 “FRONTIER AREAS” FOR THE APPLICATION OF THE PRODUCTS OF DEPOSITION TECHNOLOGY ..................... 44 12.0 SELECTION CRITERIA ................................................................. 46 13.0 SUMMARY ................................................................................... 48 APPENDIX 1: DEPOSITION PROCESS DEFINITIONS........................... 49 Conduction and Diffusion Processes............................................. 49 Chemical processes ..................................................................... 50 Wetting Process........................................................................... 50 Spraying Processes ..................................................................... 51 REFERENCES ...................................................................................... 54

2

Plasmas in Deposition Processes .............................. 55 John A. Thornton and Joseph E. Greene

1.0 2.0

INTRODUCTION ........................................................................... 55 PARTICLE MOTION ..................................................................... 56 2.1 Mean Free Path and Collision Cross Sections .................... 56 2.2 Free Electron Kinetic Energy in a Plasma........................... 58 2.3 Electron Energy Distribution Functions ............................... 59 2.4 Collision Frequencies .......................................................... 61 3.0 COLLECTIVE PHENOMENA ........................................................ 68 3.1 Plasma Sheaths ................................................................. 69 3.2 Ambipolar Diffusion ............................................................. 74 3.3 Plasma Oscillations ............................................................ 75 4.0 PLASMA DISCHARGES .............................................................. 76 4.1 Introduction ......................................................................... 76 4.2 Ionization Balances and the Paschen Relation .................... 77 4.3 Cold Cathode Discharges ................................................... 82 4.4 Magnetron Discharges ........................................................ 84 4.5 RF Discharges .................................................................... 85 5.0 PLASMA VOLUME REACTIONS ................................................. 87 5.1 Introduction ......................................................................... 87 5.2 Electron/Atom Interactions .................................................. 87 5.3 Electron/Molecule Interactions ............................................ 88 5.4 Metastable Species ............................................................ 90 5.5 Applications of Volume Reactions....................................... 92 6.0 SURFACE REACTIONS ............................................................... 93 6.1 Introduction ......................................................................... 93 6.2 Ion Bombardment ................................................................ 93 6.3 Electron Bombardment ..................................................... 100 6.4 Glow Discharge Surface Cleaning and Activation .............. 100 REFERENCES .................................................................................... 103

Contents

3

xvii

Surface Preparation for Film and Coating Deposition Processes ................................................................ 108 Donald M. Mattox

1.0 2.0

INTRODUCTION ......................................................................... 108 CONTAMINATION ...................................................................... 110 2.1 Recontamination ............................................................... 111 3.0 ENVIRONMENT CONTROL ........................................................ 113 4.0 CLEANING PROCESSES .......................................................... 119 4.1 Particulate Removal .......................................................... 120 4.2 Abrasive Cleaning ............................................................. 121 4.3 Etch Cleaning ................................................................... 121 4.4 Fluxing .............................................................................. 122 4.5 Alkaline Cleaners .............................................................. 122 4.6 Detergent Cleaning ........................................................... 122 4.7 Chelating Agents .............................................................. 123 4.8 Solvent Cleaning ............................................................... 123 4.9 Oxidation Cleaning............................................................ 128 4.10 Volatilization Cleaning....................................................... 130 4.11 Hydrogen Reduction Cleaning ........................................... 130 4.12 Electrolytic Cleaning ......................................................... 131 5.0 DRYING AND OUTGASSING ..................................................... 132 6.0 MONITORING OF CLEANING .................................................... 133 7.0 IN SITU CLEANING .................................................................... 134 7.1 Ion Scrubbing .................................................................... 134 8.0 PLASMAS .................................................................................. 134 8.1 Generation of Plasmas ..................................................... 135 8.2 Plasma Chemistry ............................................................ 140 8.3 Bombardment Effects on Surfaces .................................... 141 8.4 Sputter Cleaning and Etching............................................ 143 9.0 STORAGE AND HANDLING ....................................................... 147 10.0 ACTIVATION AND SENSITIZATION ............................................ 148 11.0 SURFACE MODIFICATION ........................................................ 150 12.0 PASSIVATION AND PRESERVATION ....................................... 151 13.0 SAFETY ..................................................................................... 152 REFERENCES .................................................................................... 152

4

Evaporation: Processes, Bulk Microstructures and Mechanical Properties .............................................. 157 Rointan F. Bunshah

1.0 2.0

GENERAL INTRODUCTION ........................................................ 157 SCOPE ...................................................................................... 159

xviii

3.0

Contents

PVD PROCESSES .................................................................... 159 3.1 Preamble .......................................................................... 159 3.2 PVD Processes ................................................................ 160 3.3 Advantages and Limitations .............................................. 165 4.0 THEORY AND MECHANISMS ................................................... 166 4.1 Vacuum Evaporation ......................................................... 166 5.0 EVAPORATION PROCESS AND APPARATUS ......................... 169 5.1 The System ...................................................................... 169 6.0 EVAPORATION SOURCES ....................................................... 172 6.1 General Considerations ..................................................... 172 6.2 Resistance Heated Sources ............................................. 175 6.3 Sublimation Sources ......................................................... 176 6.4 Evaporation Source Materials............................................ 178 6.5 Induction Heated Sources ................................................. 180 6.6 Electron Beam Heated Sources ........................................ 181 6.7 Arc Evaporation ................................................................ 189 7.0 LASER INDUCED EVAPORATION/LASER ABLATION/PULSED LASER DEPOSITION (PLD) ....................................................... 192 8.0 DEPOSITION RATE MONITORS AND PROCESS CONTROL .... 194 8.1 Monitoring of the Vapor Stream ......................................... 194 8.2 Monitoring of Deposited Mass ........................................... 196 8.3 Monitoring of Specific Film Properties ............................... 196 8.4 Evaporation Process Control ............................................. 199 9.0 DEPOSITION OF VARIOUS MATERIALS .................................. 201 9.1 Deposition of Metals and Elemental Semiconductors ........ 201 9.2 Deposition of Alloys .......................................................... 201 9.3 Deposition of Intermetallic Compounds ............................. 205 9.4 Deposition of Refractory Compounds ................................ 209 9.5 Reactive Evaporation Process ........................................... 213 9.6 Activated Reactive Evaporation (ARE) ............................... 213 9.7 Materials Synthesized by Evaporation-based Processes .. 223 10.0 MICROSTRUCTURE OF PVD CONDENSATES ......................... 224 10.1 Microstructure Evolution .................................................... 224 10.2 Texture ............................................................................. 236 10.3 Residual Stresses ............................................................ 237 10.4 Defects ............................................................................. 237 11.0 PHYSICAL PROPERTIES OF THIN FILMS ................................ 241 12.0 MECHANICAL AND RELATED PROPERTIES ............................ 241 12.1 Mechanical Properties ................................................................ 241 13.0 PURIFICATION OF METALS BY EVAPORATION ...................... 256 APPENDIX ......................................................................................... 258 On Progress in Scientific Investigations in the Field of Vacuum Evaporation in the Soviet Union................................................... 258 REFERENCES .................................................................................... 261

Contents

5

xix

Sputter Deposition Processes .................................. 275 John A. Thornton and Joseph E. Greene

1.0

INTRODUCTION ......................................................................... 275 1.1 Sputter Deposition Systems ............................................. 278 1.2 Sputter-Deposition Applications ........................................ 279 1.3 Process Implementation ................................................... 282 1.4 History of Sputter Deposition and Background Reading .... 283 2.0 SPUTTERING MECHANISMS .................................................... 284 2.1 Sputtering Rate ................................................................. 285 2.2 Momentum Exchange ....................................................... 289 2.3 Alloys and Compounds ..................................................... 292 2.4 Sputtering with Reactive Species ...................................... 295 2.5 The Nature of Sputtered Species ...................................... 296 2.6 Energy Distribution of Sputtered Species .......................... 298 3.0 SPUTTER DEPOSITION TECHNIQUES ..................................... 301 3.1 Planar Diode and the DC Glow Discharge ......................... 301 3.2 Triode Discharge Devices .................................................. 305 3.3 Magnetrons ....................................................................... 306 3.4 RF Sputtering ................................................................... 318 3.5 Ion-Beam Sputtering ......................................................... 327 4.0 SPUTTER DEPOSITION MODES ............................................... 328 4.1 Reactive Sputtering ........................................................... 328 4.2 Bias Sputtering ................................................................. 332 REFERENCES .................................................................................... 337

6

Ion Plating ................................................................. 346 Donald M. Mattox

1.0 2.0 3.0

4.0 5.0

INTRODUCTION ......................................................................... 346 PROCESSING PLASMA ............................................................ 351 GENERATION OF PLASMAS .................................................... 351 3.1 DC Diode Discharge.......................................................... 351 3.2 RF Discharge .................................................................... 355 3.3 Microwave Discharges ...................................................... 356 3.4 Electron Emitter Discharge ............................................... 356 3.5 Magnetron Discharges ...................................................... 357 3.6 Plasma Enhancement ....................................................... 358 PLASMA CHEMISTRY ............................................................... 359 BOMBARDMENT EFFECTS ON SURFACES ............................ 360 5.1 Collisional Effects ............................................................. 363 5.2 Surface Region Effects ..................................................... 368 5.3 Near Surface Region Effects ............................................. 369 5.4 Bulk Effects ...................................................................... 369

xx

Contents

6.0

SOURCES OF DEPOSITING ATOMS ........................................ 369 6.1 Thermal Vaporization ........................................................ 370 6.2 Sputtering ......................................................................... 371 6.3 Vacuum Arcs .................................................................... 371 6.4 Chemical Vapor Precursors .............................................. 373 7.0 REACTIVE ION PLATING ........................................................... 373 8.0 BOMBARDMENT EFFECTS ON FILM PROPERTIES ................ 373 8.1 Effects: Adatom Nucleation............................................... 373 8.2 Effects: Interface Formation .............................................. 374 8.3 Effects: Film Growth ......................................................... 374 8.4 Film Adhesion................................................................... 376 8.5 Film Morphology/Density .................................................. 376 8.6 Residual Film Stress ........................................................ 378 8.7 Crystallographic Orientation .............................................. 378 8.8 Gas Incorporation .............................................................. 380 8.9 Surface Coverage .............................................................. 380 8.10 Other Properties ............................................................... 381 9.0 ION PLATING SYSTEM REQUIREMENTS ................................. 381 9.1 Vacuum System ............................................................... 381 9.2 High Voltage Components ................................................ 381 9.3 Gas Handling System ....................................................... 383 9.4 Evaporation/Sublimation Sources ...................................... 383 9.5 Sputtering Sources ........................................................... 383 9.6 Plasma Uniformity ............................................................ 384 9.7 Plasma Generation Near the Substrate Surface ................ 384 9.8 Substrate Fixturing ........................................................... 384 10.0 PROCESS MONITORING AND CONTROL ................................. 385 10.1 Plasma ............................................................................. 385 10.2 Substrate Temperature ..................................................... 385 10.3 Specifications ................................................................... 385 11.0 PROBLEM AREAS .................................................................... 386 12.0 APPLICATIONS.......................................................................... 389 13.0 SUMMARY ................................................................................. 389 REFERENCES .................................................................................... 391

7

Chemical Vapor Deposition ...................................... 400 Jan-Otto Carlsson

1.0 2.0 3.0

INTRODUCTION ......................................................................... 400 IMPORTANT REACTION ZONES IN CVD ................................... 401 DESIGN OF CVD EXPERIMENTS .............................................. 402 3.1 Classification of CVD Reactions........................................ 403 3.2 Thermodynamics .............................................................. 405 3.3 Adhesion .......................................................................... 409

Contents

xxi

3.4 Substrate Cleaning Procedures ......................................... 410 3.5 The CVD system .............................................................. 410 3.6 The Gas Dispensing System ............................................ 411 3.7 The Reactor ...................................................................... 413 3.8 The Exhaust System ........................................................ 415 3.9 Analysis of the Vapor in a CVD Reactor............................ 417 4.0 GAS FLOW DYNAMICS ............................................................ 417 4.1 Gas Flow Patterns ............................................................ 420 4.2 Boundary Layers ............................................................... 423 4.3 Mass Transport Processes Across a Boundary Layer ....... 428 5.0 RATE-LIMITING STEPS DURING CVD ....................................... 428 6.0 REACTION MECHANISMS ........................................................ 436 7.0 NUCLEATION ............................................................................. 438 8.0 SURFACE MORPHOLOGY AND MICROSTRUCTURE OF CVD MATERIALS ............................................................................... 442 9.0 SELECTIVE DEPOSITION .......................................................... 445 9.1 Area-Selective Growth ....................................................... 446 9.2 Phase-Selective Deposition ............................................... 452 10.0 SOME APPLICATIONS OF THE CVD TECHNIQUE ................... 453 11.0 OUTLOOK .................................................................................. 455 REFERENCES .................................................................................... 456

8

Plasma-Enhanced Chemical Vapor Deposition ........ 460

Arthur Sherman INTRODUCTION ......................................................................... 460 REACTOR INFLUENCE ON PLASMA BEHAVIOR ..................... 461 2.1 DC/AC Glow Discharges ................................................... 461 2.2 AC Discharges with Unequal Area Electrodes ................... 464 2.3 Frequency Effects on RF Plasma Reactor Behavior .......... 466 2.4 Adjusting DC Bias for Fixed Electrode Geometry .............. 467 2.5 Plasma-Enhanced CVD (PECVD) Reactors ...................... 467 3.0 FILMS DEPOSITED BY CVD ..................................................... 472 3.1 Silicon Nitride ................................................................... 472 3.2 Silicon Dioxide .................................................................. 478 3.3 Conducting Films .............................................................. 481 REFERENCES .................................................................................... 482 1.0 2.0

9

Plasma-Assisted Vapor Deposition Processes: Overview ................................................................... 485 Rointan F. Bunshah

1.0 2.0 3.0

INTRODUCTION ......................................................................... 485 PLASMA-ASSISTED DEPOSITION PROCESSES ..................... 488 MODEL OF A DEPOSITION PROCESS..................................... 488

xxii

Contents

4.0

MATERIALS DEPOSITED BY REACTIVE VAPOR DEPOSITION PROCESSES ............................................................................. 491 5.0 KEY ISSUES IN PLASMA-ASSISTED REACTIVE VAPOR DEPOSITION PROCESSES....................................................... 492 5.1 Plasma Volume Chemistry ............................................... 492 5.2 Type and Nature of the Bombardment of the Growing Film 493 6.0 PLASMA-ASSISTED DEPOSITION TECHNIQUES IN CURRENT USAGE ...................................................................................... 495 6.1 Plasma-Assisted Chemical Vapor Deposition ................... 495 6.2 Sputter Deposition ............................................................ 496 6.3 Activated Reactive Evaporation (ARE) ............................... 497 7.0 LIMITATIONS OF CURRENT PLASMA-ASSISTED TECHNIQUES 499 8.0 HYBRID PROCESSES ............................................................... 501 9.0 CONCLUSIONS .......................................................................... 501 REFERENCES .................................................................................... 505

10

Deposition from Aqueous Solutions: An Overview ..... 506 Morton Schwartz

1.0 2.0 3.0

INTRODUCTION ......................................................................... 506 GENERAL PRINCIPLES ............................................................ 508 ELECTRODEPOSITION.............................................................. 520 3.1 Mechanism of Deposition .................................................. 520 3.2 Parameters ....................................................................... 526 4.0 PROCESSING TECHNIQUES .................................................... 536 5.0 SELECTION OF DEPOSIT ......................................................... 539 5.1 Individual Metals ............................................................... 539 5.2 Alloy Deposition ................................................................ 543 6.0 SELECTED SPECIAL PROCESSES ......................................... 550 6.1 Electroless Deposition ...................................................... 550 6.2 Electroforming................................................................... 557 6.3 Anodizing .......................................................................... 560 6.4 Plating on Plastics............................................................ 570 6.5 Plating Printed Circuit Boards ........................................... 571 7.0 STRUCTURES AND PROPERTIES OF DEPOSITS ................... 574 8.0 SUMMARY ................................................................................. 596 APPENDIX A - Preparation of Substrates for Electroplating .................. 597 APPENDIX B - Representative Electroless Plating Solution Formulation .................................................... 599 APPENDIX C - Comparison of Aluminum Anodizing Processes (Types I, II and III) ......................................................... 602 REFERENCES .................................................................................... 605

Contents

11

xxiii

Advanced Thermal Spray Deposition Techniques ..... 617 Robert C. Tucker, Jr.

1.0 2.0

INTRODUCTION ......................................................................... 617 EQUIPMENT AND PROCESSES ............................................... 618 2.1 Plasma Spray Process ..................................................... 618 2.2 Detonation Gun Deposition Process ................................. 626 2.3 High Velocity Oxy-Fuel Deposition.................................... 628 2.4 Thermal Control ................................................................ 629 2.5 Auxiliary Equipment .......................................................... 630 2.6 Equipment-Related Coating Limitations............................. 631 3.0 TOTAL COATING PROCESS ..................................................... 632 3.1 Powder ............................................................................. 632 3.2 Substrate Preparation ....................................................... 632 3.3 Masking ............................................................................ 633 3.4 Coating ............................................................................. 633 3.5 Finishing ........................................................................... 635 4.0 COATING STRUCTURE AND PROPERTIES .............................. 636 4.1 Surface Macrostructure and Microstructure ....................... 636 4.2 Microstructure................................................................... 637 4.3 Bond Strength ................................................................... 643 4.4 Residual Stress ................................................................ 644 4.5 Density ............................................................................. 645 4.6 Mechanical Properties ...................................................... 647 4.7 Wear and Friction ............................................................. 653 4.8 Corrosion Properties ......................................................... 660 4.9 Thermal Properties ............................................................ 662 4.10 Electrical Characteristics .................................................. 664 5.0 SUMMARY ................................................................................. 665 REFERENCES .................................................................................... 665

12

Non-Elemental Characterization of Films and Coatings ............................................................ 669 Donald M. Mattox

1.0 2.0 3.0 4.0 5.0

INTRODUCTION ......................................................................... 669 CHARACTERIZATION ................................................................ 671 FILM FORMATION ..................................................................... 677 ELEMENTAL AND STRUCTURAL ANALYSIS ............................ 681 SOME PROPERTY MEASUREMENTS ..................................... 682 5.1 Adhesion .......................................................................... 682 5.2 Film Thickness ................................................................. 689 5.3 Film Stress ....................................................................... 691 5.4 Coefficient of Thermal Expansion ...................................... 695

xxiv

Contents

5.5 Mechanical Properties ...................................................... 695 5.6 Electrical Resistivity.......................................................... 696 5.7 Temperature Coefficient of Resistivity (TCR) ...................... 696 5.8 Electromigration ................................................................ 697 5.9 Density ............................................................................. 697 5.10 Porosity ............................................................................ 698 5.11 Chemical Etch Rate (Dissolution) ..................................... 701 6.0 SUMMARY ................................................................................. 701 REFERENCES .................................................................................... 702

13

Nucleation, Film Growth, and Microstructural Evolution ................................................................... 707 Joseph E. Greene

1.0 2.0

INTRODUCTION ......................................................................... 707 NUCLEATION AND THE EARLY STAGES OF FILM GROWTH.. 708 2.1 Three-Dimensional Nucleation and Growth ........................ 710 2.2 Two-Dimensional Nucleation and Growth .......................... 721 2.3 Stranski-Krastanov Nucleation and Growth ....................... 728 3.0 COMPUTER SIMULATIONS OF MICROSTRUCTURE EVOLUTION ............................................................................... 730 3.1 Film Growth in the Ballistic Aggregation, Low-Adatom Mobility, Limit ................................................................... 732 3.2 Effects of Adatom Migration .............................................. 734 4.0 MICROSTRUCTURE EVOLUTION AND STRUCTURE-ZONE...... 736 5.0 EFFECTS OF LOW-ENERGY ION IRRADIATION DURING FILM GROWTH ................................................................................... 743 5.1 Effects of Low-Energy Ion/Surface Interactions on Nucleation Kinetics ...................................................... 743 5.2 Effects of Low-Energy Ion/Surface Interactions on Film Growth Kinetics.................................................... 750 REFERENCES .................................................................................... 760

14

Metallurgical Applications.......................................... 766 Rointan F. Bunshah

1.0 2.0 3.0 4.0 5.0 6.0

INTRODUCTION ......................................................................... 766 CORROSION .............................................................................. 766 GALVANIC CORROSION ........................................................... 767 3.1 Galvanic Cells ................................................................... 768 EMF AND GALVANIC SERIES .................................................. 770 COATINGS FOR GALVANIC CORROSION ................................ 770 METHODS OF DEPOSITION OF METALLIC COATINGS ........... 772

Contents

xxv

7.0

EXAMPLES OF CORROSION-RESISTANT COATINGS ............. 773 7.1 Preamble .......................................................................... 773 8.0 HIGH TEMPERATURE OXIDATION/CORROSION ...................... 776 9.0 FRICTION AND WEAR ............................................................... 781 9.1 Adhesive Wear .................................................................. 781 9.2 Fretting Wear .................................................................... 781 9.3 Abrasive Wear .................................................................. 782 9.4 Fatigue Wear .................................................................... 782 9.5 Impact Erosion Wear by Solid Particles and Fluids ........... 782 9.6 Corrosive Wear ................................................................. 783 9.7 Electric Arc Induced Wear ................................................ 783 9.8 Solution Wear (Thermodynamic Wear).............................. 783 10.0 COATINGS TO REDUCE FRICTION AND WEAR ....................... 783 10.1 Friction ............................................................................. 783 10.2 Lubrication ........................................................................ 785 10.3 Wear ................................................................................. 785 REFERENCES .................................................................................... 787

15

Characterization of Thin Films and Coatings ............. 789 Gary E. McGuire

1.0 2.0

INTRODUCTION ......................................................................... 789 SURFACE ANALYSIS TECHNIQUES ........................................ 789 2.1 Auger Electron Spectroscopy ........................................... 789 2.2 Photoelectron Spectroscopy ............................................. 797 2.3 Secondary Ion Mass Spectroscopy .................................. 803 2.4 Rutherford Backscattering Spectroscopy .......................... 812 3.0 IMAGING ANALYSIS TECHNIQUES .......................................... 822 3.1 Scanning Electron Microscopy ......................................... 822 3.2 Transmission Electron Microscopy ................................... 828 4.0 OPTICAL ANALYSIS TECHNIQUES........................................... 834 4.1 Ellipsometry...................................................................... 834 4.2 Fourier Transform Infrared Spectroscopy ........................... 838 4.3 Photoluminescence Spectroscopy .................................... 841 REFERENCES .................................................................................... 845

16 1.0 2.0 3.0

Jet Vapor Deposition ................................................ 848 Bret L. Halpern and Jerome J. Schmitt INTRODUCTION ......................................................................... 848 PRINCIPLES AND APPARATUS OF JVD .................................. 849 DISCUSSION ............................................................................. 853 3.1 Jet Structure, Behavior, and Vapor Transport .................... 853 3.2 Substrate Motion .............................................................. 856

xxvi

Contents

4.0

EXAMPLES OF JVD FILMS AND APPLICATIONS ..................... 857 4.1 Cu, Au Multilayer Electrodes; Al, Al2O3 Microlaminates... 857 4.2 PZT: Ferroelectric FRAM Nonvolatile Memories ................ 858 4.3 Electronic Grade Silicon Nitride ........................................ 859 4.4 Fiber Coating for Composite Materials .............................. 859 4.5 Coating of Thermally Sensitive Membranes ....................... 860 4.6 “Ceramic Host–Organic Guest” Films................................ 860 4.7 Polymer Deposition: Parylene ........................................... 861 5.0 SUMMARY ................................................................................. 861 REFERENCES .................................................................................... 862

Index

......................................................................... 864

1 Deposition Technologies: An Overview Rointan F. Bunshah

1.0 THE MARKET Historically, from the late 1950s onward, decorative coatings or aluminum provided the initial thrust for surface-engineered products for toys, textiles, etc. Since then, the uses of deposition techniques in practically all areas of engineering and many areas of science have produced a dramatic growth in sales of equipment and products produced, particularly in the last decade. According to a recent survey (VDI-Technologiezeutrum-FRG), equipment with an estimated value of $6 billion was produced worldwide in 1989 for their film surface technology. Components and devices manufactured with such equipment amounted to $60 billion and the value of the end-products which contained components made possible by surface engineering is estimated at $600 billion. Just one industry, semiconductors, has changed entireproduction lines every 5 to 6 years. It is further estimated that only 10% of all items which can benefit from surface modifications are being processed today. Surface engineering will remain a growth industry in the next decade, because surface-engineered products increase performance, reduce costs, and control surface properties independently of the substrate, thus offering enormous potential due to the following: ! Creation of entirely new products ! Solution of previously unsolved engineering problems ! Improved functionality of existing products—engineering or decorative ! Conservation of scarce materials ! Ecological considerations—reduction of effluent output and power consumption 27

28

Deposition Technologies for Films and Coatings

Research and development expenditures in surface engineering are very extensive. It is reported that Japan is spending $100 to $150 million for R/D in diamond and diamond-like carbon coatings. The payoff is estimated at $16 billion by the end of this decade. In advance thermal barrier coatings by PVD methods for high temperature operation of turbine blades, it is estimated that more than $10 million have been spent in the United States alone. Wearresistant coatings for disc and heads has attracted much more than $10 million in R/D expenditures worldwide. The list continues to expand.

2.0 INTRODUCTION Most materials used in high technology applications are composites, i.e., they have a near-surface region with properties differing from those of the bulk materials. This is caused by the requirement that the material exhibit a combination of various, and sometimes conflicting, properties. For example, a particular engineering component may be required to have high hardness and toughness (i.e., resistance to brittle crack propagation). This combination of properties can be obtained by having a composite material with high surface hardness and a tough core. Alternately, the need may be for a high temperature, corrosion-resistant material with high elevated-temperature strength as is the case with the hot stage blades and vanes in a gas turbine. The solution again is to provide the strength requirement from the bulk and the corrosion requirement from the surface. In general, coatings are desirable, or even necessary, for a variety of reasons including economics, materials conservation, unique properties, or the engineering and design flexibility which can be obtained by separating the surface properties from the bulk properties. This near-surface region is produced by depositing a coating onto it (i.e., overlay coating) by processes such as physical or chemical vapor deposition, electrodeposition, and thermal spraying, or by altering the surface material by the in-diffusion of materials (i.e., diffusion coating or chemical conversion coating), or by ion implantation of new material so that the surface layer now consists of both the parent and added materials. “Coatings” may also be formed by other processes such as melt/ solidification (e.g., laser glazing technique), by mechanical bonding of a surface layer (e.g., roll bonding), by mechanical deformation (e.g., shot peening), or other processes which change the properties without changing the composition.

Deposition Technologies: An Overview

29

As stated above, the coating/substrate combination is a composite materials system. The behavior of this composite system depends not only on the properties of the two components (i.e., the coating material and the substrate material), but also on the interaction between the two (i.e., the structure and properties of the coating/substrate interface) which is integral to the very important factor of adhesion of coatings. In some cases, such as for overlay coatings, this is a distinct region. For others, such as ion implantation or diffusion coatings, it is not a discrete region. Historically, most solid metallic and some ceramic materials were produced by melting/solidification technology. Since the advent of deposition technologies (i.e., production of solid materials from the vapor), the diversity of materials that can be produced has more than doubled because the properties of solid materials produced from the vapor phase can be varied over a much wider range than the same material produced from the liquid phase. This is because melt techniques produce solid materials with properties close to equilibrium properties whereas the deposition conditions may be so chosen as to produce materials from the vapor phase with properties close to equilibrium (similar to their melt-produced counterparts), or properties far removed from equilibrium properties (non-equilibrium properties). Moreover, a much greater variation in microstructure is possible with vapor source materials. For example, a copper-nickel alloy produced by solidification from the melt will always consist of a single phase solid solution, whereas the same alloy produced by alternate deposition from two sources may consist of alternate layers of nickel and copper, i.e., a laminate composite or a solid solution depending on the deposition temperature. A large number of materials are used for coatings today. These may range from the naturally occurring oxide layer which protects the surfaces of many metals such as aluminum, titanium, and stainless steel, to those with very deliberate and controlled alloying additions to the surface to produce specific properties, as exemplified by techniques such as molecular beam epitaxy or ion implantation. Other examples with increasing degree of criticality range from paint coatings applied to wood and metals, electrostatically painted golf balls, the print in the daily newspaper, optical coatings on lenses and other elements, vapor deposited microcircuit elements such as resistors, diffusion or overlay coatings on superalloys used in gas turbines for high temperature corrosion protection, hard overlay coatings of engineering components and machine tools, etc.

30

Deposition Technologies for Films and Coatings

3.0 AIM AND SCOPE The aim of this volume is to give the reader a perspective on several coating techniques with emphasis on the techniques which are used in critical or demanding (i.e., high technology) applications. Consequently, some of the techniques such as painting, dip coating, or printing will not be emphasized except as they pertain to some special application like thick film electrical components. Nevertheless, a wide variety of techniques and their applications will be covered. The material is intended to present a broad spectrum of deposition technologies to those who may be familiar with only one or two techniques. Hopefully, this will help them to select and weigh various alternatives when the next technological problem involving coatings faces them. The specific deposition technologies to be covered are: 1. Physical Vapor Deposition including evaporation, ion plating and sputtering. 2. Chemical Vapor Deposition and Plasma-Assisted Chemical Vapor Deposition 3. Electrodeposition and Electroless Deposition. 4. Plasma Spraying as well as a very special variant called Detonation Gun Technology. There are some generic areas common to several of the deposition technologies, the most prominent example being the use of plasmas in many of the deposition technologies. Therefore, a chapter on plasmas in deposition processes is included. Another common topic is cleaning of the substrate and adhesion of the coating. A chapter is included on that topic. A further common topic is the characterization of the chemical composition and the microstructure of the coating at various levels of resolution. A chapter is included to satisfy this need. New chapters are added dealing with Metallurgical Applications (Corrosion, Function and Wear), Overview of Plasma-Assisted Deposition Processes, Plasma-Assisted Chemical Vapor Deposition, and Nucleation/Growth of Thin Films. It is realized that all specific applications cannot be satisfied within this framework. For example, specific applications such as coatings for optical or magnetic applications are not addressed per se. At the other end of the spectrum, coatings for the first wall of thermo-nuclear reactors cannot be discussed since the development of the subject is in an embryonic stage.

Deposition Technologies: An Overview

31

In each of the chapters on deposition technologies, the theory, methodology, advantages, limitations and applications are discussed.

4.0 DEFINITIONS AND CONCEPTS In order to avoid potential problems, it is necessary to clarify certain distinctions which are common and pertinent to deposition technologies. These are as follows: 1. Diffusion vs.Overlay Coatings—Diffusion coatings are produced by the complete interdiffusion of material applied to the surface into the bulk of the substrate material. Examples of this are the diffusion of oxygen into metals to form various sub-oxide and oxide layers, the diffusion of aluminum into nickel base alloys to form various aluminides, etc. A characteristic feature of diffusion coatings is a concentration gradient from the surface to the interior, as well as the presence of various layers as dictated by thermodynamic and kinetic considerations. Ion implantation may be considered to be a special case where the coating material is implanted at a relatively shallow depth (a few hundred angstrom units) from the surface. An overlay coating is an add-on to the surface of the part, e.g., gold-plating on an iron-nickel alloy, or titanium carbide onto a cutting tool, etc. Depending upon the process parameters, an interdiffusion layer between the substrate and the overlay coating may or may not be present. 2. Thin Films vs. Thick Films—Historically, the physical dimension of thickness was used to make the distinction between thick films and thin films. Unfortunately, the critical thickness value depended on the application and discipline. In recent years, a "Confucian" solution has been advanced. It states that if a coating is used for surface properties (such as electron emission, catalytic activity), it is a thin film; whereas, if it is used for bulk properties, corrosion resistance, etc., it is a thick film. Thus, the same coating material of identical thickness can be a thin film or a thick film depending upon the usage. This represents a reasonable way out of the semantic problem.

32

Deposition Technologies for Films and Coatings 3. Steps in the Formation of a Deposit—There are three steps in the formation of a deposit: a. Synthesis or creation of the depositing species b. Transport from source to substrate c. Deposition onto the substrate and film growth

These steps can be completely separated from each other or be superimposed on each other depending upon the process under consideration. The important point to note is that if, in a given process, these steps can be individually varied and controlled, there is much greater flexibility for such a process as compared to one where they are not separately variable. This is analogous to the degrees of freedom in Gibbs phase rule. For example, consider the deposition of tungsten by CVD process. It takes place by the reaction: WF6(vapor) + 3H2(gas)

Heated

———" W(deposit) + 6HF(gas) Substrate

The rate of deposition is controlled by the substrate temperature. At a high substrate temperature, the deposition rate is high and the structure consists of large columnar grains. This may not be a desirable structure. On the other hand, if the same deposit is produced by evaporation of tungsten, the deposition rate is essentially independent of the substrate temperature so that one can have a high deposition rate and a more desirable microstructure. On the other hand, a CVD process may be chosen over evaporation because of considerations of throwing power, i.e., the ability to coat irregularly shaped objects, since high vacuum evaporation is basically a line-of-sight technique.

5.0 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS TERMINOLOGY The basic PVD processes are those currently known as evaporation, sputtering and ion plating. In recent years, a significant number of specialized PVD processes based on the above have been developed and extensively used, e.g., reactive ion plating, activated reactive evaporation, reactive sputtering, etc. There is now considerable confusion since a particular process can be legitimately covered by more than one name. As

Deposition Technologies: An Overview

33

an example, if theactivated reactive evaporation (ARE) process is used with a negative bias on the substrate, it is very often called reactive ion plating. Simple evaporation using an RF heated crucible has been called gasless ion plating. An even worse example of the confusion that can arise is found in the chapter on ion plating in this volume (Ch. 6) where the material is converted from the condensed phase to the vapor phase using thermal energy (i.e., evaporation) or momentum transfer (i.e., sputtering) or supplied as a vapor (very similar to CVD processes). Carrying this to the logical conclusion, one might say that all PVD processes are ion plating! On the other hand, the most important aspect of the ion plating process is the modification of the microstructure and composition of the deposit caused by the ion bombardment of the deposit resulting from the bias on the substrate, i.e., what is happening on the substrate. To resolve this dilemma, it is proposed that we consider all of these basic processes and their variants as PVD processes and describe them in terms of the three steps in the formation of a deposit as described above. This will hopefully remove the confusion in terminology. Step 1: Creation of Vapor Phase Specie. There are three ways to put a material into the vapor phase-evaporation, sputtering or chemical vapors and gases. Step 2: Transport from Source to Substrate. The transport of the vapor species from the source to the substrate can occur under line-of-sight or molecular flow-conditions (i.e., without collisions between atoms and molecules); alternately, if the partial pressure of the metal vapor and/or gas species in the vapor state is high enough or some of these species are ionized (by creating a plasma), there are many collisions in the vapor phase during transport to the substrate. Step 3: Film Growth on the Substrate. This involves the deposition of the film by nucleation and growth processes. The microstructure and composition of the film can be modified by bombardment of the growing film by ions from the vapor phase resulting in sputtering and recondensation of the film atoms and enhanced surface mobility of the atoms in the near-surface and surface of the film. Every PVD process can be usefully described and understood in terms of these three steps. The reader is referred to Chapter 9 for a more comprehensive treatment.

34

Deposition Technologies for Films and Coatings

6.0 CLASSIFICATION OF COATING PROCESSES Numerous schemes can be devised to classify or categorize coating processes, none of which are very satisfactory since several processes will overlap different categories. For example, the Appendix contains a list and definitions of various deposition processes based upon those provided by Chapman and Anderson with some additions. These authors classify the processes under the general heading of Conduction and Diffusion Processes, Chemical Processes, Wetting Processes and Spraying Processes. Here, the Chemical Vapor Deposition process falls under the Chemical Processes, and the Physical Vapor Deposition Process (Evaporation, lon Plating and Sputtering) falls under the spraying processes. The situation can easily get confused as, for example, when Reactive and Activated Reactive Evaporation, and Reactive lon Plating are all classified as Chemical Vapor Deposition processes by Yee[3] who considers them thusly because a chemical reaction is involved and it does not matter to him whether evaporated metal atoms or stable liquid or gaseous compounds are the reactants. Another classification of the methods of deposition of thin films is given by Campbell.[4] He considers the overlap between physical and chemical methods, e.g., evaporation and ion plating, sputtering and plasma reactions, reactive sputtering and gaseous anodization.[5] He classifies the Chemical Methods of Thin Film Preparation as follows:

Chemical Methods of Thin Film Preparation Basic Class

Method

Formation from the Medium Electroplating lon Plating Chemical Reduction Vapor Phase Plasma Reaction Formation from the Substrate

Gaseous Anodization Thermal Plasma Reduction

Deposition Technologies: An Overview

35

In addition, he considers the following as chemical methods of thick film preparation: Glazing, Electrophoretic, Flame Spraying and Painting. In contrast to the chemists’ approach given above, the physicists’ approach to deposition processes is shown in the following classification of vacuum deposition techniques by Schiller, Heisig and Goedicke[6] and by Weissmantel.[7]

Figure 1.1. Survey of vacuum deposition techniques (Schiller[6])

A different classification comes from a materials background where the concern is with structure and properties of the deposits as influenced by process parameters. Thus, Bunshah and Mattox[8] give a classification based on deposition methods as influenced by the dimensions of the depositing specie, e.g., whether it is atoms/molecules, liquid droplets or bulk quantities, as shown in Table 1.1. In atomistic deposition processes, the atoms form a film by condensing on the substrate and migrating to sites, where nucleation and growth occurs. Further, adatoms do not achieve their lowest energy configurations and the resulting structure contains high concentrations of structural imperfections. Often the depositing atoms react with the substrate material to form a complex interfacial region. Another aspect of coatings formed by atomistic deposition processes is as follows. The sources of atoms for these deposition processes can be by thermal vaporization (vacuum deposition) or sputtering (sputter deposition) in a vacuum, vaporized chemical species in a carrier gas (chemical vapor deposition), or ionic species in an electrolyte (electrodeposition). In low energy atomistic deposition processes, the depositing species impinge on the surface, migrate over the surface to a nucleation site where they condense and grow into a coating. The nucleation and growth modes of the condensing species determine the crystallography and microstructure of

36

Deposition Technologies for Films and Coatings

the coating. For high energy deposition processes, the depositing particles react with or penetrate into the substrate surface. Particulate deposition processes involve molten or solid particles and the resulting microstructure of the deposit depends on the solidification or sintering of the particles. Bulk coatings involve the application of large amounts of coating material to the surface at one time such as in painting. Surface modification involves ion, thermal, mechanical, or chemical treatments, which alter the surface composition or properties. All of these techniques are widely used to form coatings for special applications.

Table 1.1. Methods of Fabricating Coatings

7.0 GAS JET DEPOSITION WITH NANO-PARTICLES One of the chapters in this volume (Ch. 11) deals with Plasma Spraying and Detonation Gun Techniques where a high velocity stream of macroparticles (µm dimensions) impinge on a substrate to form a coating. With the

Deposition Technologies: An Overview

37

advent of evaporation[9] and sputtering processes[10] to produce nanoparticles (nm dimensions), the same concept can be used to produce coatings by carrying nano-particles in a gas stream and impinging them on a substrate.[11][12] Figure 1.2 shows a schematic of this process where metallic nano-particles produced by evaporation are carried in a gas stream, accelerated through a nozzle and impinged on a substrate to produce a coating. Single nozzles or multiple nozzle configurations can be used, the latter producing an array of dots, for example. The attributes of this process are: 1. Direct write maskless processing to produce dots, lines, and other shapes. 2. High deposition rate, 10 - 20 µm per second over a small area. 3. Low temperature (room temperature) deposition. 4. Metals, alloys, ceramics, and organic materials can be deposiited. 5. Multiphase films with uniform mixing can be produced. 6. The collection officiency is very high, ~90%, i.e. very little waste or scatter. Examples of applications of this technique are: 1. Electrical connecting lines in circuits including the repair aspect. 2. Fabrication of microelectrodes 3. Oxide superconductor contacts. 4. Capacitors 5. Implantation of virus into plants for the bio industry. 6. Cell-gene processing technology.

Figure 1.2. Schematic diagram of gas deposition apparatus.

38

Deposition Technologies for Films and Coatings

8.0 MICROSTRUCTURE AND PROPERTIES In electrodeposition, typically the growth process involves condensation of atoms at a kink site on the substrate surface, followed by layered growth of the deposit. Adatom mobility is increased by the hydrated nature of the ions and the adatom mobility may vary with crystal orientation. Field ion microscopy stripping studies of copper electrodeposited on tungsten has shown that there is surface rearrangement of the tungsten atoms during the electrodeposition process. Electrodeposited material does not grow in a uniform manner; rather it becomes faceted, develops dendrites and other surface discontinuities. Thus the microstructure of electrodeposited coatings may vary from relatively defect-free single crystals usually grown on single crystal substrates, to highly columnar and faceted structures. In the electroplating process, organic additives may be used to modify the nucleation process and to eliminate undesirable growth modes. This results in a microstructure more nearly that of bulk material formed by conventional metallurgical processes. Electrodeposition from a molten salt electrolyte allows the deposition of many materials not available from aqueous electrolytes. In vacuum processes, the depositing species may have energies ranging from thermal (a few tenths of an electron volt) for evaporation to moderate energies (ten to hundreds of electron volts) for sputtered atoms to high energies for accelerated species such as those used in ion implantation. These energies have an important but poorly understood effect on interfacial interaction, nucleation and growth. Where there is chemical reaction between the substrate atoms and the depositing atoms, and diffusion is possible, a diffusion or compound interfacial region is formed composed of compounds and/or alloys which modify the effective surface upon which the deposit grows. Low energy electron diffraction studies have shown that this interfacial reaction is very sensitive to surface condition and process parameters. If the coating and substrate materials are not chemically reactive and are insoluble, the interfacial region will be confined to an abrupt discontinuity in composition. This type of interface may be modified by bombardment with high energy particles to give high defect concentrations and implantation of ions resulting in a “pseudodiffusion” type of interface. The type of interface formed will influence the properties of the deposited coating. In many circumstances, these interfacial regions are of very limited thickness and pose a challenge to those interested in compositional, phase, microstructural and property analysis.

Deposition Technologies: An Overview

39

The microstructure of the depositing coating in the atomic deposition processes depends on how the adatoms are incorporated into the existing structure. Surface roughness and geometrical shadowing will lead to preferential growth of the elevated regions giving a columnar type microstructure to the deposits.[13] This microstructure will be modified by substrate temperature, surface diffusion of the atoms, ion bombardment during deposition, impurity atom incorporation and angle of incidence of the depositing adatom flux. The structure zone model of Movchan and Demchishin[14] for vacuum deposited films is discussed in later chapters. In chemical vapor deposition, the chemical species containing the film atoms is generally reduced or decomposed on the substrate surface, often at high temperatures. Care must be taken to control the interface reaction between coating and substrate and between the substrate and the gaseous reaction products. The coating microstructure which develops is very similar to that developed by the vacuum deposition processes, i.e., small-grained columnar structures to large-grained equiaxed or oriented structures. Each of the atomistic deposition processes has the potential of depositing materials which vary significantly from the conventional metallurgically processed material. The deposited materials may have high intrinsic stresses, high point defect concentration, extremely fine grain size, oriented microstructures, metastable phases, incorporated impurities, and macro and micro porosity. These properties may be reflected in the physical properties of the materials and by their response to applied stresses such as mechanical loads, chemical environments, thermal shock or fatigue loading. Metallurgical properties which may be affected include elastic constants, tensile strength, fracture toughness, fatigue strength, hardness, diffusion rates, friction/wear properties, and corrosion resistance. In addition, the unique microstructure of the deposited material may lead to such effects as anomalously low annealing and recrystallization temperatures where the internal stresses and high defect concentration aid in atomic rearrangement. The high value of grain boundary area to volume ratio found in fine grained deposited material means that diffusion processes may be dominated by grain boundary rather than bulk diffusion. The fine grained nature of the materials also affects the deformation mechanisms such as slip and twinning. For thin films, the free-surface to volume ratio is high, and the pinning of dislocation by the free surface leads to the high tensile strengths often measured in thin films of materials.

40

Deposition Technologies for Films and Coatings

In vapor deposition processes, impurity incorporation during deposition can give high intrinsic stresses or impurity stabilized phases which are not seen in the bulk forms of the materials. Reactive species allow the deposition of compounds such as nitrides, carbides, borides and oxides. Graded deposits can be formed. Vapor deposition processes have the capability of producing unique and/ or nonequilibrium microstructures. One example is the fine dispersion of oxides in metals, where the oxide particle size and spacing is very small (100 - 500 Å). Alternately, metals and alloys deposited at high substrate temperatures have properties similar to those of conventionally fabricated (cast, worked and heat treated) metals and alloys. A more recent example is the nano-scale laminate composites consisting of alternate layers of refractory compounds with unusually high hardness values. 9.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS IN UNDERSTANDING It is useful to state at this point some of the unique features of materials produced by deposition technologies. They are: 1. Extreme versatility of range and variety of deposited materials. 2. Overlay coatings with properties independent of the thermodynamic compositional constraints. 3. Ability to vary defect concentration over wide limits, thus resulting in a range of properties comparable to, or far removed from conventionally fabricated materials. 4. High quench rates available to deposit amorphous materials. 5. Generation of microstructures different from conventionally processed materials, e.g., a wide range of microstructures— ultrafine (submicron grain or laminae size) to single crystal films. 6. Fabrication of thin self-standing shapes even from brittle materials. 7. Ecological benefits with certain techniques. The first edition lists some of the areas where our understanding of basic processes and phenomena is lacking and which obviously are the areas where research activities are essential. These are:

Deposition Technologies: An Overview

41

1. Microstructure and properties in the range of 500 to 10,000 Å— particularly important for submicron microelectronics, reflective surfaces and corrosion. 2. (a) Effect of the energy of the depositing species on interfacial interaction, nucleation and growth of deposit. (b) Effect of “substrate surface condition,” i.e., contamination (oxide) layers, adsorbed gases, surface topography. 3. Residual stresses—influence of process parameters. Considerable progress and understanding has developed in the last decade. 10.0 CURRENT APPLICATIONS The applications of coatings in current technology may be classed into the following generic areas: Optically Functional—Laser optics (reflective and transmitting), architectural glazing, home mirrors, automotive rear view mirrors, reflective and anti-reflective coatings, optically absorbing coatings, selective solar absorbers. Electrically Functional—Electrical conductors, electrical contacts, active solid state devices, electrical insulators, solar cells. Mechanically Functional—Lubrication films, wear and erosion resistant coatings, diffusion barriers, hard coatings for cutting tools. Chemically Functional—Corrosion resistant coatings, catalytic coatings, engine blades and vanes, battery strips, marine use equipment. Decorative—Watch bezels, bands, eyeglass frames, costume jewelry. A few examples are chosen to illustrate them in greater detail. 10.1 Decorative/Functional Coating Weight reduction is a high priority item to increase gas mileage in automobiles. Therefore, heavy metallic items such as grills are being

42

Deposition Technologies for Films and Coatings

replaced with lightweight plastic, overcoated with chromium by sputtering for the appearance to which the consumer is accustomed. Another extensive application is aluminum-coated polymer films for heat insulation, decorative and packaging applications. A rapidly growing application is the use of a gold-colored wear-resistant coating of titanium nitride on watch bezels, watch bands and similar items. A new application is black wear-resistant hard carbon films. 10.2 High Temperature Corrosion Blades and vanes used in the turbine-end of a gas turbine engine are subject to high stresses in a highly corrosive environment of oxygen-, sulfurand chlorine-containing gases. A single or monolithic material such as a high temperature alloy is incapable of providing both functions. The solution is to design the bulk alloy for its mechanical properties and provide the corrosion resistance by means of an overlay coating of an M-Cr-AI-Y alloy where M stands for Ni, Co, Fe or Ni + Co. The coating is deposited in production by electron beam evaporation and in the laboratory by sputtering or plasma spraying. With the potential future use of synthetic fuels, considerable research will have to be undertaken to modify such coating compositions for the different corrosive environments as well as against erosion from the particulate matter in those fuels. 10.3 Environmental Corrosion Thick ion plated aluminum coatings are used in various irregularlyshaped parts of aircraft and space-craft as well as on fasteners:(a) to replace electroplated cadmium coatings which sensitize the high-strength parts to hydrogen embrittlement or(b) to prevent galvanic corrosion which would occur when titanium or steel parts contact aluminum or (c) to provide good brazeability. New alloy coatings in the micron thickness range have been developed. 10.4 Friction and Wear Dry-film lubricant coatings of materials such as gold, MoS2 , WSe2 and other lamellar materials are deposited on bearings and other sliding parts by sputtering or ion plating to reduce wear. Such dry-film lubricants are

Deposition Technologies: An Overview

43

especially important for critical parts used in long-lifetime applications since conventional organic fluid lubricants are highly susceptible to irreversible degradation and creep over a long time. 10.5 Materials Conservation Aluminum is continuously coated on a steel strip, 2 feet wide and 0.006 inches thick to a 250 micro-inch thickness in an air-to-air electron-beam evaporator at the rate of 200 feet/minute. The aluminum replaces tin, which is becoming increasingly scarce and costly. The strip then goes to the lacquer line and is used for steel can production. With the change in Eastern Europe, this line has switched to deposition of Cr and Cu on steel. 10.6 Cutting Tools Cutting tools are made of high-speed steel or cemented carbides. They are subject to degradation by abrasive wear as well as by adhesive wear. In the latter mode, the high temperatures and forces at the tool tip promote microwelding between the steel chip from the workpiece and the steel in the high-speed steel tool or the cobalt binder phase in the cemented carbide. The subsequent chip breaks the microweld and causes tool surface cratering and wear. A thin layer of a refractory compound such as TiC, TiN, Al2 O3 prevents the microwelding by introducing a diffusion barrier. Improvements in tool life by factors of 300 to 800% are possible as well as reductions in cutting forces. The coatings are deposited by chemical vapor deposition or physical vapor deposition. Some idea of the importance of such coatings can be assessed from the fact that the yearly value of cutting tools purchased in the U.S. is $1 billion and the cost of machining is approximately $60 billion. The last decade has seen major advances in this area and some of these are: ! Ti alloy nitrides, e.g., (Ti, Al) N ! Ti carbonitrides, e.g., Ti (C,N) ! Multilayer coatings of different nitrides ! Diamond coated tools by CVD and PACVD processes for machining of non-ferrous metals and polymer-matrix composites. A bond layer such as silicon nitride has to be used to attach the diamond coating to the carbide cutting tool.

44

Deposition Technologies for Films and Coatings ! Hard diamond-like carbon for heads and discs ! Cubic boron nitride coatings by plasma-assisted PVD and CVD methods for cutting of hard ferrous materials

10.7 Nuclear Fuels Pyrolytic carbon is deposited on nuclear fuel particles used in gas-cooled reactors by chemical vapor deposition in fluidized beds. The coating retains the fission products and protects the fuel from corrosion. 10.8 Biomedical Uses Parts for implants such as heart valves are made of pyrolytic carbon by CVD techniques. Metal parts are coated with carbon by ion plating in order to obtain biological compatibility. 10.9 Electrical Uses High temperature cuprate superconductors with transition temperatures of 85° to 115°K. This permits the operation of liquid nitrogen cooled devices. Various PVD techniques such as co-evaporation in an oxygen plasma, sputtering from simple or multiple targets and laser ablation have been used to fabricate films, ranging from 1 to 50 cm2 . Microwave devices such as delay lines, quasioptical filters have been fabricated and are being marketed. 11.0 “FRONTIER AREAS” FOR THE APPLICATION OF THE PRODUCTS OF DEPOSITION TECHNOLOGY The following were listed in the first edition published in 1982. 1. Reflective surfaces, e.g., for laser mirrors. 2. Thermal barrier coatings for blades and vanes operating at high temperatures. 3. Corrosion/erosion resistant coatings at high temperatures, e.g., valves and other critical compounds in coal gasification plants. 4. Advanced cutting tools.

Deposition Technologies: An Overview 5. Wear-resistant surfaces without organic lubricants, particularly at high temperatures where lamellar solid state lubricants such as MoS2 are ineffective. 6. First wall of thermonuclear reactor vessels. 7. High-strength/high-toughness ceramics for structural use. 8. Ultrafine powders. 9. Super conducting materials: High transition temperatures >23.2°K. Fabricability of these brittle materials into wire or ribbons. 10. CataIytic materials. 11. Thin film photovoltaic devices. 12. Transparent conductive coatings in opto-electronics devices, photo detectors, liquid crystal and electrochromic displays, solar photo thermal absorption devices, heat mirrors. 13. Biomedical devices, e.g., neurological electrodes, heart valves, artificial organs. 14. Materials conservation. 15. Sub-micron microelectronic devices. In this context, a good question is, How far can dimensions be reduced without running into some limit imposed by physical phenomena? In 1992, new additions to the above list are: 16. Diamond and diamond-like carbon for various applications: ! Tribology, particularly cutting tool ! Heat management–heat sinks of diamond sheet currently several square inches in area are on the market ! Hard protective coatings for infrared applications such as the protection of germanium and sodium chloride optics 17. Cubic boron nitride for various applications: ! High temperature use (up to 1200°) semiconductor devices. Very perfect device quality single crystal films have been grown epitaxially on lattice matched TiC substrates ! Tribological uses for machining of hard steels ! Optical and opto-electronic devices

45

46

Deposition Technologies for Films and Coatings 18. Film deposition using a high velocity gas jet. Hayashi and coworkers[9] have developed a process where ultra-fine powders (~10 nanometer diameter) are carried on a high velocity gas jet and impinged on a substrate to “write” lines of deposited materials, e.g., YBCO superconductors. The usage of material is very high, almost 97% is collected as a deposit. Various applications are envisioned. 19. Unbalanced magnetron deposition—very useful new development where some of the electrons are allowed to escape from the magnetic trap at the sputtering target and from a plasma near the substrate from which ions can be extracted to bombard the growing film.

12.0 SELECTION CRITERIA The selection of a particular deposition process depends on several factors. They are: 1. The material to be deposited 2. Rate of deposition 3. Limitations imposed by the substrate, e.g., maximum deposition temperature 4. Adhesion of deposit to substrate 5. Throwing power 6. Purity of target material since this will influence the impurity content in the film 7. Apparatus required and availability of same 8. Cost 9. Ecological considerations 10. Abundance of deposition material in the world In order to aid the reader in the task of selection, Table 1.2 lists several criteria for each of the processes. It is obvious that there are very few techniques which can deposit all types of materials. It is also impossible to detail the advantages and limitations of each of the techniques. However, in the evaluation of each application, the above factors will lead to a rational choice of the deposition technique to be used.

Table 1.2. Some Characteristics of Deposition Processes

Deposition Technologies: An Overview 47

48

Deposition Technologies for Films and Coatings

13.0 SUMMARY In the above discussion, we have noted the following: 1. There are a very large number of deposition techniques. 2. There is no unique way to classify these techniques. Depending on the viewpoint, the same technique may fall into fall into one or more classes. 3. Each technique has its advantages and limitations. 4. The choice of the technique to be used depends on various selection criteria which have been given above. 5. More than one technique can be used to deposit a given film as shown in Figure 1.3 below from Campbell’s article on preparation methods in microelectronic fabrication.

Electro-

Chemical

Vapor Anodization Thermal Evaporation Sputtering

plating Reduction Phase 123456789012345678901 123456789012345678901 123456789012345678901 Conductors, 123456789012345678901 123456789012345678901 123456789012345678901 resistiors 123456789012345678901 123456 123456 123456 123456 Insulators, 123456 123456 capacitors 123456 Active devices Magnetic materials Superconductors

1234567890 1234567890 1234567890 1234567890 1234567890 1234567890 1234567890 1234567 1234567 1234567 1234567 1234567 1234567 1234567

12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678 12345678123456789012345678 12345678 1234567890 1234567890 1234567890 1234567890 1234567890 1234567890 123456789012345678 12345678 123456789012345678 1234567890 123456789012345678 12345678 1234567890 123456789012345678 12345678

Figure 1.3. Applicability of preparation methods to microelectronics. Light shading indicates that the component can be prepared by the method; Dark shading indicates that the method is widely used.

Deposition Technologies: An Overview

49

APPENDIX 1: DEPOSITION PROCESS DEFINITIONS The definitions of various deposition processes are given below. They are grouped as proposed by Chapman and Anderson[1] and many of them are those proposed by these authors. Conduction and Diffusion Processes Electrostatic Deposition is the deposition of material in liquid form, the solvent used then being evaporated to form a solid coating. At the source, the liquid is atomized and charged, and then it can be directed onto the substrate using an electrostatic field. Electrophoretic Coating produces a coating on a conducting substrate from a dispersion of colloidal particles. The article to be coated is immersed in an aqueous dispersion which dissociates into negatively charged colloidal particles and positive cations. An electric field is applied with the article as anode (positive electrode); the colloidal particles are transported to the anode, where they are discharged and form a film. In the case of a paint coating, this requires curing, which further shows that electrophoresis itself is not a very effective transport process, so that electrodeposition may be a better term for the coating process. Electrolytic Depositionis primarily concerned with the deposition of ions rather than of colloidal particles. Two electrodes are immersed in an electrolyte of an ionic salt which dissociates in aqueous solution into its constituent ions; positive ions are deposited onto the cathode (negative electrode). Anodizationis a process which occurs at the anode (hence its name) for a few specific metals. The anode reacts with negative ions from the electrolyte and becomes oxidized, i.e., it forms a surface coating. Gaseous Anodization is a process in which the liquid electrolyte of the conventional wet process is replaced by a glow discharge in a low partial pressure of a reactive gas. Oxides, carbides and nitrides can be produced this way. Ion Nitridingis a gaseous anodization to produce nitride diffusion coating on a metal surface, usually steel. Ion Carburizingis a gaseous anodization to produce a carbide diffusion coating on a metal surface, usually steel. Plasma Oxidationis gaseous anodization to produce an oxide film on the surface of metal, e.g., SiO2 films on Si. Diffusion Coating is produced by diffusion of material from the surface into the bulk of the substrate.

50

Deposition Technologies for Films and Coatings

Metalliding is a method using electrodeposition in molten fluorides. Spark-hardening is a technique in which an arc is periodically struck between a vibrating anode and the conducting substrate (cathode); material is transferred from the anode and diffuses into the substrate. Chemical processes Conversion and Conversion/Diffusion Coating is a process in which the substrate is reacted with other substances (which may be in the form of solids, liquids or gases) so that its surface is chemically converted into different compounds having different properties. (Anodization could probably be described as an electrochemical conversion process). Conversion coating usually takes place at elevated temperatures and diffusion is often an essential feature. Chemical Vapor Deposition (CVD) is a chemical process which takes place in the vapor phase very near the substrate or on the substrate so that a reaction product is deposited onto the substrate. The deposition can be a metal, semiconductor, alloy or refractory compound. Pyrolysis is a particular type of CVD which involves the thermal decomposition of volatile materials on the substrate. Plasma-Assisted CVD is a process where the reaction between the reactants is stimulated or activated by creating a plasma in the vapor phase using means such as R F excitation from a coil surrounding the reaction vessel. Electroless Deposition is often described as a variety of electrolytic deposition which does not require a power source or electrodes, hence its name. It is really a chemical process catalyzed by the growing film, so that the electroless term is somewhat a misnomer. Disproportionation is the deposition of a film or crystal in a closed system by reacting the metal with a carrier gas in the hotter part of the system to form the compound, followed by dissociation of the compound in the colder part of the system to deposit the metal. Examples are epitaxial deposits of Si or Ge on a single crystal substrate and the Van-Arkel-deBoer process for metal purification and crystal growth. Wetting Process Wetting Processes are the coating processes in which material is applied in liquid form and then becomes solid by solvent evaporation or cooling.

Deposition Technologies: An Overview

51

Conventional Brush Painting and Dip Coating are wetting processes in which the part to be coated is literally dipped into a liquid (e.g., paint) under controlled conditions of, for example, withdrawal rate and temperature. Hydrophilic Method is a surface chemical process known as the Langmeir Blodgett technique which is used to produce multimonolayers of long chain fatty acids. A film 25 Å thick can be deposited on a substrate immersed in water and pulled through a compressed layer of the fatty acid on the surface of the water. The process can be repeated to build up many layers. Welding Processesare the range of coating techniques all of which rely on wetting. Spraying Processes Printing Process also relies on wetting and is a process in which the ink, conventionally pigment in a solvent, is transferred to and is deposited on a paper or other substrate, usually to form a pattern; the solvent evaporates to leave the required print. Spraying Processes can be considered in two categories;(i) macroscopic in which the sprayed particle consists of many molecules and is usually grater than 10 µm in diameter; (ii) macroscopic in which the sprayed particles are predominantly single molecules or atoms. Air and Airless Spraying are the first of the macroscopic processes. When a liquid exceeds a certain critical velocity, it breaks up into small droplets, i.e., it atomizes. The atomized droplets, by virtue of their velocity (acquired from a high pressure air or airless source) can be sprayed onto a substrate. Flame Spraying is a process in which a fine powder (usually of a metal) is carried in a gas stream and is passed through an intense combustion flame, where it becomes molten. The gas stream, expanding rapidly because of the heating, then sprays the molten powder onto the substrate where it solidifies. Detonation Coating is a process in which a measured amount of powder is injected into what is essentially a gun, along with a controlled mixture of oxygen and acetylene. The mixture is ignited, and the powder particles are heated and accelerated to high velocities with which they impinge on the substrate. The process is repeated several times a second. Arc Plasma Sprayingis a process in which the powder is passed through an electrical plasma produced by a low voltage, high current electrical discharge. By this means, even refractory materials can be deposited.

52

Deposition Technologies for Films and Coatings

Electric-Arc Spraying is a process in which an electric arc is struck between two converging wires close to their intersection point. The high temperature arc melts the wire electrodes which are formed into high velocity molten particles by an atomizing gas flow; the wires are continuously fed to balance the loss. The molten particles are then deposited onto a substrate as with the other spray processes. Harmonic Electrical Spraying is a process in which the material to be sprayed must be in liquid form, which will usually require heating. It is placed in a capillary tube and a large electrical field is applied to the capillary tip. It is found that by adding an AC perturbation to the DC field, a collimated beam of uniformly sized and uniformly charged particles is emitted from the tip. Sense these particles are charged, they could be focused by an electrical field to produce pattern deposits. Evaporation is a process in which the boiling is carried out in vacuum where there is almost no surrounding gas; the escaping vapor atom will travel in a straight line for some considerable distance before it collides with something, for example, the vacuum chamber walls or substrate. Glow Discharge Evaporation and Sputtering are processes in soft vacuum (10-2 to 10-1 torr) operating in the range 10-1 < pd < 10-2 torr cm where p is the pressure and d is the cathode fall dimension. Molecular Beam Epitaxy is an evaporation process for the deposition of compounds of extreme regularity of layer thickness and composition from well controlled deposition rates. Reactive Evaporation is a process in which small traces of an active gas are added to the vacuum chamber; the evaporating material reacts chemically with the gas so that the compound is deposited on the substrate. Activated Reactive Evaporation (ARE) is the Reactive Evaporation Process carried out in the presence of plasma which converts some of the neutral atoms into ions or energetic neutrals thus enhancing reaction probabilities and rates to deposit refractory compounds. Biased Activated Reactive Evaporation (BARE)is the same process as Activated Reactive Evaporation with substrate held at a negative bias voltage. Sputter Depositionis a vacuum process which uses a different physical phenomenon to produce the microscopic spray effect. When a fast ion strikes the surface of a material, atoms of that material are ejected by a momentum transfer process. As with evaporation, the ejected atoms or molecules can be condensed on a substrate to form a surface coating. Ion Beam Depositionis a process in which a beam of ions generated from an ion beam gun, impinge and deposit on the substrate.

Deposition Technologies: An Overview

53

Ion Beam Assisted Deposition—two versions are possible. One, an ion beam is used to sputter a target and a second beam is used to bombard the growing film to change structure and properties. This is dual Ion Beam Assisted Deposition. The other version uses an ion beam to bombard the growing film to change structure and properties. In this case, conventional evaporation or sputtering techniques are used to generate a flux of the depositing species. Cluster Ion Beam Deposition is an ion beam deposition in which atomic clusters are formed in the vapor phase and deposited on the substrate. Ion Plating is a process in which a proportion of the depositing material from an evaporation, sputtering or chemical vapor source is deliberately ionized. Once changed this way, the ions can be accelerated with an electric field so that the impingement energy on the substrate is greatly increased, producing modifications of the microstructure and residual stresses of the deposit. Reactive Ion Plating is ion plating with a reactive gas to deposit a compound. Chemical Ion Plating is similar to Reactive Ion Plating but uses stable gaseous reactants instead of a mixture of evaporated atoms and reactive gases. In most cases, the reactants are activated before they enter the plasma zone. Ion Implantation is very similar to ion plating, except that now all of the depositing material is ionized, and in addition, the accelerating energies are much higher. The result is that the depositing ions are able to penetrate the surface barrier of the substrate and be implanted in the substrate rather than on it. Plasma Polymerization is a process in which organic and inorganic polymers are deposited from monomer vapor by the use of electron beam, ultraviolet radiation or glow discharge. Excellent insulating films can be prepared in this way.

54

Deposition Technologies for Films and Coatings

REFERENCES 1. Science and Technology of Surface Coating,(B. N. Chapman and J. C. Anderson, eds.), Academic Press (1974) 2. Adhesion Measurement of Thin Films, Thick Films and Bulk Coatings, (K. D. Mittal, ed.), Am. Soc. for Testing Materials (1978) 3. Yee, K. K.,International Metal Reviews,No. 226, The Metals Society and American Society for Metals (1978) 4. Campbell, D. S.,Handbook of Thin Film Technology,(L. Maissel and R. Glang, eds.), Ch. 5, McGraw-Hill (1970) 5. Handbook of Thin Film Technology, (L. Maissel and R. Glang eds.), McGraw-Hill (1970) 6. Schiller, S., Heisig, O., and Geodick, K., Proc. 7th Int’l. Vacuum Congress, (R. Dobrozemsky, ed.), p. 1545, Vienna (1977) 7. Weissmantel, C., ibid, p. 1533, 8. Bunshah, R. F. and Mattox, D. M., Physics Today (May 1970) 9. Hayashi, C., Paper presented at the International Vacuum Congress, Hague, Netherlands (Oct. 1992); also: Hayashi, C., J. Vac. Sci. Tech., A5(4):1375 (1987) 10. Suc, T. G., Umarjee, D. M., Prakash, S., and Bunshah, R. F., Surface and Coatings Technology, 13:199 (1991) 11. Hayashi, C., Kashu, S., Oda, M., and Naruse, F., presented at the Int'l Vac. Cong., The Hague, Netherlands (Nov. 1992) - to be published in Mat. Sci. Eng., (1993) 12. Oda, M., Katsu, I., Tsuneizumi, M., Fuchita, E., Kashu, S., and Hayashi, C., presented at Fall Mtg. Mat. Res. Soc., Boston, 1992 13. Thornton, J. A.,Proc. 19th National SAMPLE Symposium, Buena Park, Ca. (April 23-25, 1974) 14. Movchan, B. A., and Demchishin, A. V., Phys. Met Metallogr., 28:83 (1969)

2 Plasmas in Deposition Processes John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION A glow discharge plasma used in deposition processes is a low-pressure gas which is partially ionized and contains approximately equal numbers of positive and negative particles. The character of such a plasma is a consequence of the mass difference between the electrons and the ions. When an electric field is applied to an ionized gas, energy is transferred more rapidly to the electrons than to the ions. Furthermore, the transfer of kinetic energy from an electron to a heavy particle (atom, molecule, or ion) in an elastic collision is proportional to the mass ratio of electrons and heavy particles and therefore very small (~10-5). Consequently, at low pressures (low collision frequencies), the electrons can accumulate sufficient kinetic energy to have a high probability of producing excitation or ionization during collisions with heavy particles. The production of these excited species, and their interactions with surfaces and growing films, is one of the reasons that low pressure glow discharge plasmas are assuming an ever-increasing role in materials processing. Examples of application areas include the following. ! ! ! ! ! !

Sputter deposition Activated reactive evaporation Ion plating Plasma-assisted chemical vapor deposition Plasma-assisted etching Plasma polymerization 55

56

Deposition Technologies for Films and Coatings

The purpose of this chapter is to review fundamental aspects of glowdischarge plasmas which are of importance in understanding the role of plasma processes in materials processing.

2.0 PARTICLE MOTION 2.1 Mean Free Path and Collision Cross Sections A glow discharge plasma can be viewed as a medium in which electrical energy is transmitted, via an electric field, to a gas. The energetic gas particles are then used to promote chemical reactions or to interact with a surface to produce desirable effects such as sputtering. Thus, the process of energy exchange during collisions involving plasma particles is of fundamental importance. Gas-phase collision probabilities are often expressed in terms of cross sections. A related parameter is the mean free path or average distance traversed by particles between collisions. The mean free pathλ and collision cross sectionσ are generally defined by a simple relationship which treats the particles as impenetrable spheres. Thus, the mean free path for electrons passing through a gas of particle density N is Eq. (1)

λ = 1/(Nσ)

The total collision cross section can be written as Eq. (2)

σt = σel + σex + σion + σ a + σoth

where the subscripts el, ex, ion, a, and oth characterize the particular types of collisions, namely, elastic or momentum exchange, excitation, ionization, attachment, and other processes, respectively. Figure 2.1 shows the cross sections for electrons interacting with Ar gas. The cross sections are typically a strong function of the energy of the colliding species. For the case of electrons colliding with gas atoms, the kinetic energy of the gas atoms is generally much less than that of the electrons and can be neglected. Consequently, only the electron energy is shown in Fig. 2.1. The figure shows that at low electron energies the primary collision process is momentum exchange (σt ≈ σel), while at energies considerably larger than the ionization potential (15.75 eV for Ar), the primary process is ionization (σt ≈ σion ).

Plasmas in Deposition Processes

57

Figure 2.1. Collision cross sections for electrons in Ar gas (from Ref. 1).

Cross sections are most easily measured for reactions involving a species such as an electron or ion which can conveniently be formed as an energetic beam and passed through a stationary gas. Figure 2.2 shows the cross section for energetic O+ ions passing through N2 and producing the reaction O+ + N2 → NO+ + N. Note in comparing Figs. 2.1 and 2.2 that the collision cross sections are typically a few x 10-15 cm2 in magnitude (i.e., a few angstroms in diameter). For collision types that cannot be investigated in beam experiments, the cross sections are often deduced from measurements of macroscopic parameters such as viscosities, diffusion coefficients, and chemical reaction rates.[3] Thus one finds reference to viscosity cross sections, diffusion cross sections, etc. Cross sections are primarily of interest in making comparisons based on kinetic theory. In most plasma calculations, the macroscopic rate parameters are used directly if they are available.

58

Deposition Technologies for Films and Coatings

Figure 2.2. Cross section for the reaction of O+ ions with N2 to produce NO+ + N (from Ref. 2).

2.2 Free Electron Kinetic Energy in a Plasma r Consider a plasma electron in an electric fieldE . Between collisions with the gas particles, the electron will gain an energy Wf from the electric field that is equal to the force on the electron eE (where e is the electronic charge) times the distance that it moves in the electric field. This distance can be approximated by the mean free path so that, on average, Wf = eEλ. In the steady state case, this energy gain must be balanced against the energy loss in an average collision. We neglect inelastic collisions for the moment and consider collisions with heavy particles in which the electrons lose all of their momentum, i.e., are deflected by 90o . This permits us to use the momentum exchange cross section, as defined in the preceding section, for estimating λ. Application of the conservation of energy and momentum shows that loss of electron energy in such a collision is[4] Eq. (3)

∆W = (2me /mH) (We - WH)

where me and m H are the electron and heavy-particle masses and We and WH are the initial electron and heavy particle energies before the collision. Equating ∆W to the energy Wf gained from the electric field, and using Eq. 1 for λ, yields Eq. (4)

(We - WH) =

½ (mH /me) ( eE/Nσel)

Plasmas in Deposition Processes

59

In making calculations dealing with plasmas it is useful to note that: ! me = 9.11 x 10-31 kg = 9.11 x 10-28 g ! mH = 1.67 x 10-24 x (atomic mass number) g ! N = 3.2 x 1024 particles/m3 = 3.2 x 1016 particles/cm3 at 1 Torr and 300 K (27oC) ! The electron volt (eV) is the unit of energy generally used in plasma calculations. One electron volt is the energy gained by a particle with unit charge which is accelerated in an electric field produced by a potential difference of one volt (1 eV = 1.602 x 1019 joules = 11,600 K). Consider the case of electrons in an Ar plasma at 1 Torr and 300 K which is subjected to an electric field of 1 V/cm, thus, N = 3.2 x 1016 cm-3 and eE is 1 eV/cm. Using Eq. 4 with σel ≈ 10-15 cm2 from Fig. 2.1 yields (We - WH) ≈ 103 eV. Thus, at steady state, the average electron energy will be much greater than that of the gas atoms (0.03 eV at 300 K). The actual average electron energy will not reach 103 eV, however, because inelastic collisions will become important when We exceeds ≈ 10 eV. Nevertheless, the above analysis shows that even relatively weak electric fields can cause electron kinetic energies in low-pressure glow discharge plasmas to be elevated above gas-atom energies until they are finally “clamped” by losses due to inelastic collisions. Figure 2.3 shows this elevation of electron energy at low pressures for the case of plasma arcs. (The energies here are expressed as temperatures.) At high pressures, electron/gas-atom collisions are so frequent that the gas temperature increases. Such high-pressure arcs are used for a variety of applications. However, the discussion in the following sections will be limited to the low-pressure case where Te > Tg . In this situation, energetic electrons can produce high temperature chemistry in a gas at low temperatures.[6] 2.3 Electron Energy Distribution Functions For most purposes, the state of a glow discharge plasma can be characterized by the densities of heavy particles (N j, where j corresponds to the jth species), the electron density ne , and the electron energy distribution function F e(E). [7] Under conditions of local thermodynamic equilibrium,[8] when the forward and reverse rates for all the electron energy exchange processes are equal (state of detailed balance), [9] the electrons will have a Maxwellian velocity distribution and their state can be defined by

60

Deposition Technologies for Films and Coatings

an electron temperature Te. Unfortunately, such a state of equilibrium seldom exists in a low-discharge plasma.

Figure 2.3. Electron ( Te ) and gas temperatures (Tg ) in an air arc as a function of pressure (from Ref. 5).

Figure 2.4 schematically illustrates the electron energy distribution function. The equilibrium energy distribution is also shown for comparison. The effect of an electric field is to shift electrons to higher energies and therefore to overpopulate the high-energy region relative to the Maxwellian distribution. The cross section for a representative inelastic collision is shown superimposed (see Fig. 2.1). Electrons undergoing inelastic collisions are transferred from the high-energy to the low-energy end of the distribution. Electron-electron collisions tend to smooth the distribution and drive it toward the Maxwellian form. If these collisions dominate such that a state of detailed balance exists for one dominant process, then Fe(E) can be approximated by a Maxwellian distribution and an electron temperature can be used to describe the state of the electrons. However, even this case seldom occurs in practice. In high-pressure discharges, the electric field perturbation is usually minimal allowing the distribution function to be approximately Maxwellian, although it may be somewhat depleted at high energies by inelastic collisions. In low-pressure discharges, the electric field can generate relatively large numbers of energetic electrons and, in the extreme, produce

Plasmas in Deposition Processes

61

a bimodal distribution function. This is the case in low-pressure negative glow discharges of the type used in sputtering.[7] Electron energy distribution functions are usually measured by electrostatic analyzer and probe methods. However, interpretation of the results are complicated by plasma/probe interactions. Therefore electron temperatures, although not strictly valid, are generally assumed in making engineering calculations.

Figure 2.4. Schematic illustration of electron energy distribution function and inelastic collision cross section.

2.4 Collision Frequencies The collision frequency is an important plasma parameter. It is defined as the rate at which an average particle undergoes collisions of a specified type. Thus the total electron-atom collision frequency is the rate at which an average electron in a plasma undergoes collisions of all types with gas atoms. The general expression for the collision frequencyν is rather complex and involves the distribution functions of the colliding species.[10] For the electron/ heavy-particle case, the velocity of the heavy particles can be neglected and ν is given by E= ∞

Eq. (5)

νk = N (E/2me)1/2 σk(E) Fe (E) dE E= 0

62

Deposition Technologies for Films and Coatings

where k is the type of collision (e.g., elastic, excitation, ionization, etc). If the collision cross section σk(E) is assumed to be independent of energy, and the electrons are assumed to have a Maxwellian velocity distribution at an electron temperature Te, then Eq. 5 reduces to Eq. (6)

νk = N σk ve

The quantity ve is the average electron speed, Eq. (7)

ve = (8k Te / πme)1/2

where k is Boltzmann’s constant. It is customary to write kTe in units of eV*. Thus, Eq. 7 becomes Eq. (8)

ve = (6.7 x 107) [kT e (eV)]1/2 cm/sec

For purposes of obtaining rough estimates,σk in Eq. 6 is generally approximated by its value at the electron energy kTe. The electron/electron and electron/ion collision frequencies are of special interest. These are given by[11] Eq. (9)

νee = (3 x 10-6)ne lnΛ /[kTe(eV)]3/2 sec-1

and Eq. (10)

νei = (1.5 x 10-6) ne lnΛ /[kTe(eV)]3/2 sec-1

with Eq. (11)

λee = (4.5 x 1013) [kT (eV)]2 / (ne lnΛ) cm

where lnΛ is a weak function of kT e and ne . The function lnΛ is tabulated in most books on plasma physics, and has a value of approximately 10 for the glow discharge plasmas of interest here. [12] The lnΛ term arises * From kinetic theory, the average particle energy in one dimension is 1/2 kT. The average energy in three dimensions is 3/2 kT. Since T and E are so closely related, it is customary in plasma physics to give temperature in units of eV. To avoid confusion with the number of dimensions involved, it is not the average energy but the energy corresponding to kT that is used to denote the temperature.[11] By a 2 eV plasma, we mean that kT = 2 eV, although the actual average energy in three dimensions is 3/2 kT or 3 eV.

Plasmas in Deposition Processes

63

because these collisions involve long-range coulomb forces and the cross sections do not cut off as in the hard sphere approximation. The primary use of Eqs. 9 and 10 is in comparing νee and νei with other relevant collision frequencies. A plasma for which νee > νeA, where νeA is the elastic electron/atom collision frequency, is said to be coulomb-dominated. An approximate condition for coulomb domination is easily derived from Eqs. 6 and 9 (see Ref. 13), Eq. (12)

ne

>> αc = (2.23 x 1013)

N

σeA [kT(eV)]2 lnΛ

The term αc in Eq. 12 is known as the critical degree of ionization. Selecting kTe ~ 3 eV andσeA ~ 10-15 cm-3 (Fig. 2.1) yields ne/N ≈ 0.02. Thus a moderatetemperature glow discharge plasma with 2% ionization can be dominated by coulomb collisions. A consequence of coulomb domination can be seen by examining Eqs. 9 and 11. As the electron energy is increased, the electron collision frequency decreases and the mean free path increases. Thus, electrons in an electric field will find that their energy gain is “unchecked” by collisions. Electron runaway is an important consideration in highly ionized plasmas (13) but seldom important in glow discharge plasmas because of inelastic collisions. For the case of a heavy particle of mass m1 in a gas with density N2 of heavy particles of mass m2 , the collision frequency can be approximated by an equation very similar to Eq. 6,[4][14]

Eq. (13)

(

v12 ≈ 2.5 ×105

)σ N (m )

12 12 1 ∗ 2

T  300  

1 2

where the cross section σ12 is assumed to be independent of the velocity of impact and all the heavy particles are at the common temperature T. In Eq. 13, m* is a reduced mass defined as Eq. (14 )

m* =

m1 m2 m1 + m2

where the masses are molecular weights expressed in grams. Reaction Rates: The gas-phase reaction rate R is directly proportional to the collision frequency. For a process k involving electron collisions, Eq. (15)

Rk = ne νk

reactions cm3 -sec

64

Deposition Technologies for Films and Coatings

If the electrons are assumed to have a Maxwellian velocity distribution at a temperature Te, and if the cross section for a given reaction is approximated by a step function of magnitude σ0 and threshold energy E0 as shown in Fig. 2.5, then the reaction rate is given by Eq. (16)

Rk = ne Nσ0νe [1 + (E0/kTe)] exp(-E0 /kTe )

Figure 2.5. Reaction rate approximation for a Maxwellian velocity distribution.

As a general rule, reaction rate constants rather than actual collision frequencies are measured and used to describe reactions involving heavy particle collisions. Thus, for a reaction occurring via a two-body collision between species A and B in a gas at temperature T, with rate constant κ(T), one has Eq. (17)

R = κ(T) NA NB

Mobilities: Plasma transport properties are dependent on the frequency of elastic (momentum exchange) collisions. The mobility µj relates the electric-field driven drift velocity vd of a given charged particle species j to the strength of the field E: Eq. (18)

vjd = µj E

When the collision frequency is sufficiently large that the drift velocity is small compared to the thermal velocity, Eq. (19)

µj =

1.6 x 10-12 mj ν

cm2 V-sec

where mj is the particle mass in grams.

Plasmas in Deposition Processes

65

The mobility is generally used to describe the drift of ions through a plasma that is at a sufficiently high pressure to satisfy the collision frequency requirement. Mobilities for several gases of interest are given in Table 1. Table 2.1. Mobilities of Ions in their Own Gas (From Ref. 15) Ion-Gas He+-He Ne+-Ne Ar+-Ar Kr+-Kr H2+-H2 N2+-H2 O2+-O2 CO2 +-CO2

Mobility (cm2/V-sec) 8,000 3,300 1,200 690 10,000 2,000 1,000 730

When a positive ion collides with a gas molecule or atom, two processes can occur. First, the ion and molecule can exchange momentum and energy in a collision in which the particles preserve their identity. Second, an exchange of charge can occur. For example, fast ions moving through a gas can engage in collisions in which the ion extracts an electron from a gas atom with the result that the fast ion becomes a fast neutral atom while the slow atom becomes a slow positive ion. Charge exchange is particularly important for ions of low energy passing through their own gas (resonant charge exchange). Under these conditions, the charge transfer cross section is about one half of the total cross section[16] and therefore contributes significantly in determining the mobility.* Charge transfer is very important in high-pressure sputtering and ion-plating discharges. Electrical Conductivity and Diffusion Coefficients: The electrical conductivity σ is just eNµ, so that Eq. (20)

σj = 1/ρj = 2.6 x 10-31 (Nj /mjν) (Ω-cm)-1

*The charge exchange region surrounding an atom can be considered as a sphere inside of which the probability of charge transfer is ½ and outside it is zero. As the ion approaches the atom, it will simply be deflected by the dipole interaction if the distance of closest approach is greater than the sphere radius. If the ion enters the charge exchange sphere, half the time it emerges as a neutral and half the time as an ion.[17]

66

Deposition Technologies for Films and Coatings

where Nj is the particle density in cm-3 and mj is the mass of the current carrier in grams. The resistivityρ is often used to avoid confusion with σ, which is the common symbol for both the electrical conductivity and the collision cross section. The diffusion coefficient Dj relates the particle flux to the concentration gradient. Thus, one has Eq. (21)

Nj vjd = Dj (dNj /dx)

where Eq. (22)

Dj =

kT mj ν

= (1.6 x

10-12)

kT (eV) cm2 mj ν

sec

2.5 Particles in Magnetic Fields Charged particle motion in a magnetic field is summarized in Fig. 2.6.

Figure 2.6. Electron paths in static magnetic and electric fields.

r

A charged particle in a uniform magnetic field B will orbit a field line as shown in Fig. 2.6a and drift along the field with velocity v|| that is unaffected by the field, as shown in Fig. 2.6b. The orbiting frequency is called the gyro or cyclotron frequency and is given by Eq. (23)

ωc = eB/m

Plasmas in Deposition Processes

67

The orbiting radius is called the gyro, cyclotron, or Larmor radius and is given by Eq. (24)

rg = (m/3) (v⊥/B)

Manipulation and confinement of plasma particles by a magnetic field requires that rg be small compared to the apparatus size. Note in Eq. 24 that rg depends directly on the mass of the particle. Thus, very large magnetic fields are required to influence the motions of the plasma ions. When magnetic fields are used with glow discharges, they are generally chosen to be just strong enough to influence the energetic plasma electrons, but not the ions. However, magnetically-confined electrons in a glow discharge will in turn provide considerable confinement for the plasma ions since electrostatic forces prevent the ions from escaping from the electrons. For electrons, Eqs. 23 and 24 become[1] Eq. (25)

ωc = (1.76 x 107 ) B(gauss) rad/sec

and Eq. (26)

rg = 3.37

[W⊥(eV)] 1/2 B(gauss)

cm

Thus, for electrons with an average energy W⊥ of 10 eV and a magnetic field strength B of 100 G, the gyro radius is ≈ 0.1 cm. Magnetic field strengths between 50 and 100 G are typically used with glow discharge devices. An electron that is trapped on a given magnetic field line can advance to an adjacent field line by making a collision, as indicated schematically in Fig. 2.6c. Collisional diffusion of electrons across magnetic field lines is an important consideration in many glow discharge devices. r When an electric field E is present and directed parallel to the magnetic field, the electrons are freely accelerated along the field lines.rHowever, if the electric field has a component E⊥ which is perpendicularrto B , the electrons undergo a drift in a direction perpendicular torbothrE⊥ andB , asrshown r in Figs. 2.6d and 2.6e. This motion is known as the E x B drift. The E x B drift has the cycloidal form shown in Fig. 2.6d if the initial electron energy is small compared to that gained from the electric field; it has the more circular form shown in Fig. 2.6e if the initial electron energy is largely compared to the electric-field-induced variations that occur during the course of the orbit. In both cases, the electron drift speed is given by

68

Deposition Technologies for Films and Coatings

Eq. (27)

ve = 108

E⊥ (V/cm) B(gauss)

cm/sec

The drift of electrons along a magnetic field line can also be influenced by gradients in the magnetic field. An example of this behavior is shown in Fig. 2.7. Electrons moving in such a field tend to conserve the magnetic moment, µM, defined by[11] Eq. (28)

µM = W⊥ / B

Therefore W⊥ must increase as the electrons move in the direction of increasing field strength. Conservation of energy requires that W|| + W ⊥ be constant. Therefore W|| must decrease, and the electron may be reflected as indicated in the figure. Pinched-field end confinement of this type is frequently used in glow discharge devices

Figure 2.7. Electron reflection in a magnetic field gradient.

3.0 COLLECTIVE PHENOMENA Plasmas differ from non-ionized gases by their propensity for undergoing collective behavior. Three parameters, derived from basic plasma properties, N, ne, and kTe, provide a useful measure of the tendency toward collective behavior.

Plasmas in Deposition Processes

69

The Debye length, Eq. (29)

λD = 743

kTe (eV) ne (cm3)

1/2

cm

corresponds to the distance over which significant departures from charge neutrality occurs. A plasma cannot exist in a space having a characteristic size less that λD. The plasma frequency, ωp , expressed here as Eq. (30)

fp = ωp /2π = 9000[ne (cm -3 )] 1/2 Hz

provides a measure of the tendency for electrostatic waves to develop. Waves can form if ωp >> νe,el, where νe,el is the electron collision frequency for momentum exchange. The critical degree of ionization αc was defined by Eq. 12. When the degree of ionization α = ne/N >> αc, long range coulomb collisions dominate, and the charged particles behave as though they were in a fully ionized gas. Coulomb domination can occur at degrees of ionization of a few percent for plasmas with low average electron energies (≈1 eV). 3.1 Plasma Sheaths Given a gas of particle density N (cm-3) and temperature T, the flux of particles passing to an adjacent wall is given by Eq. (31)

J = Nv/4 = (N/4) (8kT/πm)1/2

For electrons, this becomes (see Eqs. 7 and 8) Eq. (32)

Je = (1.67 x 107 ) ne [kTe (eV)]1/2 particles/cm2 -sec

which, in units of current density, is equal to Eq. (33)

Je = 2.7 x 10-9 ne [kTe (eV)] 1/2 mA/cm 2

Thus, for a typical glow discharge electron density of 109 cm-3 with an average energy of 1 eV, Je ≈ 3 mA/cm2. For heavy particles such as ions, Eq. 31 can be written in the following useful form:

70

Deposition Technologies for Films and Coatings

Eq. (34)

Ji = 104 N (40/m)1/2 (T/300)1/2

where T is the gas temperature (K) and m is the species molecular weight. In units of current density, Eq. 34 becomes Eq. (35)

Ji = 1.6 x 10-9 N (40/m) 1/2 (T/300)1/2 µA/cm2

Thus, for an Ar plasma used in sputtering with an ion density of 109 cm-3 at 300 K, the ion current flux to the wall is 1.6 µA/cm2. It is clearly seen by comparing Eqs. 33 and 35 that the electrons tend to flow from a plasma to an adjacent wall at a faster rate than the ions; therefore, a space charge region in which one species is largely excluded forms adjacent to such surfaces. The potential variation between the surface and the plasma is largely confined to this layer, which is called a sheath. Sheaths are typically several Debye lengths in thickness. The nature of the sheath will depend upon the current density passing across it. Except for cases involving very high current densities to anodes, the space charge region will contain primarily the low-mobility ion species. Such sheaths are known as positive space charge sheaths. The function of the sheath is to form a potential barrier, so that the more mobile species, which is the electrons except in the case of a strong magnetic field, are electrostatically reflected. Thus, the height of the potential barrier associated with a sheath adjusts itself so that the flux of electrons to the wall in question just equals the electron current that is drawn from the wall by the external circuit. If the wall is electrically isolated, the electron flux is reduced to the point which is equal to the ion flux. Figure 2.8 shows that a schematic illustration of a typical glow discharge plasma which is in contact with wall surfaces that are either cathodes, anodes, or electrically isolated (floating). The potential Vp is known as the plasma potential. The potential of a floating surface relative to the plasma potential is known as the floating potential Vf. For a Maxwellian velocity distribution, the floating potential is given by[18] Eq. (36)

Vf =

kTe (eV) 2e

ln

π me 2

m

Typical values are -30 to -40 V. When a floating surface is immersed in a plasma, the surface will be bombarded with ions having kinetic energies of up to eVf.

Plasmas in Deposition Processes

71

Figure 2.8. Schematic illustration of sheaths that form between a plasma discharge and the surrounding apparatus walls for systems having (A) a large anode and (B) a small anode.

Generally, the anodes used in glow discharges are large enough that the current density is less than the thermal current given by Eq. 33. In this case, there is a positive space charge sheath at the anode, as shown in Fig. 2.8a, and the sheath potential drop is between zero and V f . The potential

72

Deposition Technologies for Films and Coatings

of a plasma locks into the most positive surface, provided that the surface is large enough.[19] If the anode area is so small that the current density must exceed the thermal current, then the anode potential will be above the plasma potential, as shown in Fig. 2.8b. The local electric field surrounding the anode will draw sufficient electrons to the anode to complete the external circuit.* A large potential difference Vs, approximately equal to the entire potential applied by the power supply, occurs in the cathode sheath as shown schematically in Fig. 2.9. The sheath thickness ds is taken to be the region corresponding to Vs over which the electron density is negligible. For the low pressure case where the ion mean free path is larger than ds, the ion current density Ji is related to ds and Vs by the Child-Langmuir law. [11] It is useful to write this relationship as Eq. (37)

Ji = 0.273 (40/mi)1/2 (Vs3/2/ds2) mA/cm2

where Vs is in kV, d s is in cm, and mi is the ion molecular weight in grams. Thus, for an Ar sputtering plasma with Vs = 1 kV and d s = 1 cm, J i = 0.27 mA/cm 2. It is difficult to relate J i to the density N io of ions in the bulk plasma, because there is a quasi-neutral presheath region where a potential drop Vx of the order of 1/2(kTe /e) occurs. As an estimate, the presheath density can be assumed to obey a Boltzmann distribution, [20] such that Nis /Nio = exp(eV x /kTe ) , and Eq. (38)

Ji ≈ (0.6) eNio (kTe/mi )1/2

where mi is in grams and kTe is in ergs. For the high pressure case, where collisions are so frequent that the ion drift velocity is of the order of the thermal velocity, a mobility description is used for the ion motion.[5] Under this condition, Eq. (39)

Ji = 9.95 x 10-5 µi (Vs2/ds3 ) mA/cm2

where µi is the ion mobility in cm 2/V-sec, Vs is the sheath potential drop in kV, and ds is the sheath thickness in cm. For an Ar plasma at 1 Torr, µi = 1,200 cm2/V-sec from Table 1. Taking V = 1 kV and ds = 1 cm yields Ji = 0.11 mA/ cm 2. *The potential rise surrounding a small anode cannot become much larger than the ionization potential of the gas atoms since this potential causes the sheath electrons to be accelerated. If these electrons gain sufficient energy to produce ionization, then the electrons liberated by the ionizing collisions can provide the anode current flow requirement and no additional rise in potential is required.

Plasmas in Deposition Processes

73

Figure 2.9. Schematic representation of the positive space-charge sheath that develops over a cathode (from Ref. 1).

In a low pressure plasma, the ions will fall through the entire sheath potential and bombard the cathode with an energy about equal to eVs. At higher pressures, where charge exchange is important, the bombarding flux will consist of both ions and neutrals having energies considerably less than

74

Deposition Technologies for Films and Coatings

eVs as indicated schematically in Fig. 2.10. This is an important consideration in sputtering, ion plating, and reactive ion etching, as discussed in Ch. 5, Sec. 3.0.

Figure 2.10. Schematic representation of charge exchange reactions in the cathode fall region of a glow discharge.

3.2 Ambipolar Diffusion Consider a plasma within a container having electrically isolated or floating walls. A sheath will develop on these walls to reduce the electron flux until it is equal to the ion flux as described in Sec. 3.1. Accordingly, an electric field in the sheath retards the loss of electrons and accelerates the loss of ions. This coupled particle motion is called ambipolar diffusion. The diffusion flux J of electrons or ions to a floating wall is given by Eq. (40)

Je = D a (dne/dx) = Ji = D a (dni /dx)

The term Da is called the ambipolar diffusion coefficient. Noting that µe >> µi (see Eq. 19) permits Da to be approximated as:[11]

Plasmas in Deposition Processes

Eq. (41)

75

Da ≈ Di (1 + Te /Ti )

where Di is given by Eq. 22. Thus the effect of the ambipolar field is to enhance the diffusion of ions by a factor of more than two, but the diffusion rate of the two species together is primarily controlled by the slower species. In the presence of a sufficiently strong magnetic field perpendicular to the direction of diffusion, the electron mobility, and thus the electron diffusion coefficient can be reduced to the point where it is lower than the ion diffusion coefficient and therefore rate controlling. Under this condition one can write Eq. (42)

Da ≈ {De/[1 + (ωc2/νe)]} (1 + T i /Te)

where De is the electron diffusion coefficient in the absence of a magnetic field. The effect of the magnetic field becomes strong when ωc (given by Eq. 25) is much larger than the electron collision frequency νe , i.e., when the electrons are trapped on magnetic field lines as shown in Fig. 2.6b, and collisional hopping to adjacent field lines is infrequent. It should be noted that Eq. 42 is based on the assumption that electron losses along the lines can be neglected. Attention to these losses should be given when analyzing the performance of an actual plasma device.[11][21] 3.3 Plasma Oscillations The plasma state is rich in wave phenomena when the degree of ionization is large enough to make long-range forces important, particularly when a magnetic field is present.[11] Departures from charge neutrality capable of generating waves can occur in the form of charge bunching and separation over distances of the order of the Debye length, Eq. 29. A general discussion of such behavior is beyond the scope of this chapter. However, one case will be mentioned because of its potential importance in magnetron sputtering devices. Consider the case of a plasma in a uniform electric r rand magnetic field, as illustrated inrthe left side of Fig. 2.11. There is an E x B drift perpendicular r to both E and B , but, in the absence of collisions, simple theory predicts no transport across the magnetic field in the direction of the applied electric field. If charge bunching occurs, as shownr in the right side of r Fig. r 2.11, the perturbation produces an electric field r E p that can result inE x B drift across the magnetic field in the direction ofE . This anomalous collisionless transport across the magnetic field is believed to be an important mechanism in Penning discharges as well as in some magnetron sputtering discharges.[22]

76

Deposition Technologies for Films and Coatings

Figure 2.11. Schematic representation of a plasma instability resulting in electron transport across a magnetic field.

4.0 PLASMA DISCHARGES 4.1 Introduction A glow discharge plasma is a low temperature, relatively low pressure, gas in which a degree of ionization is sustained by energetic electrons. Glow discharge configurations used in materials processing differ in both their general geometry and in the orientation of the electric field that is used to provide energy to the electrons. In sputtering, simple planar diodes of the type shown schematically in Fig. 2.12a are often used. They may be driven at radio frequencies (RF), as shown in the figure, or by a DC power supply. RF planar diode discharges are also used for sputter etching, plasma etching, and reactive ion etching, as illustrated in Figures 2.13b, 2.13d and 2.13e, respectively. Systems with the configuration shown in Fig. 2.13d are also used for plasma-assisted chemical vapor deposition (CVD). During activated reactive evaporation, a plasma discharge is sustained in a flux of evaporated material and reactive gas that is directed toward the substrates, as shown in Fig. 2.14. The discharge may be driven by DC or RF means, using a variety of electrode configurations. The presence of the plasma has been shown to influence properties such as the chemical composition of the resultant films.[53]

Plasmas in Deposition Processes

77

Figure 2.12. Schematic illustration of glow discharge devices commonly used in plasma-assisted materials processing.

In ion plating, the discharge is generally sustained in a mixture of the evaporated flux and an inert working gas with the substrate holder biased negatively relative to the plasma potential. Usually this is done by simply making the substrate holder the cathode electrode for sustaining the plasma discharge, as shown in Fig. 2.15. The ion bombardment of the growing coating has been shown to influence its structure.[53] In plasma etching, plasma-assisted CVD, and glow discharge polymerization, discharges are often sustained in glass or quartz reactor tubes by surrounding electrodes which are driven at high frequencies (from 300 kHz to microwave frequencies)[23] Common electrode configurations are a pair of ring electrodes along the tube, clam-shell electrodes as shown in Fig. 2.12b, or a solenoidal coil electrode as shown in Fig. 2.12c. It should be noted that all of these discharges are basically capacitive in nature. Although the coil electrode will introduce considerable inductance into the load seen by the matching network, the capacitive fields generated by the coil-to-coil potential drop dominate over those generated by the time rate-of-change of magnetic flux and therefore act as the primary source of ionization unless special precautions are taken to shield them. In the case of microwave discharges, the reactor tube is generally positioned within the waveguide at a location which places a strong electric field component within the tube.[6][23] 4.2 Ionization Balances and the Paschen Relation The degree of ionization in a glow discharge depends on a balance between the rate at which ionization is produced by energetic electrons and

78

Deposition Technologies for Films and Coatings

the rate at which particles are lost by volume recombination and by passage to the walls of the apparatus. The rate of ionization depends on a relationship of the form (see Eqs. 6, 8, and 15) Eq. (43)

Figure 2.13. etching.

R ∝ N ne σion (E)1/2

Apparatus configurations commonly used in plasma-assisted

Plasmas in Deposition Processes

79

SUBSTRATES (S) ELECTRODE

Figure 2.14. Schematic illustration of the activated reactive evaporation (ARE) process (see Ref. 49).

Figure 2.15. Schematic illustration of a typical ion plating apparatus.

80

Deposition Technologies for Films and Coatings

Thus, the rate of ionization depends on the type of gas (through the ionization cross sectionσion), the gas pressure (through the particle density N), and the electric field strength (through the electron velocity). Wall losses generally dominate over volume recombination. Accordingly, the occurrence of a breakdown, and the resulting formation of a sustaining plasma discharge, in a given apparatus depends on the gas pressure, the electric field strength, and on the surface-to-volume ratio of the plasma. Figure 2.16 shows the interelectrode breakdown voltage as a function of the product of the gas pressure p and the electrode spacing d for plane parallel electrodes in air[5] and Ar.[24] Such curves are determined experimentally and are known as Paschen curves. Relationships of the same general form apply to the conditions under which a steady-state discharge can be sustained. In such cases d may be replaced by a characteristic diffusion length for the plasma vessel.[6][17][25]

Figure 2.16. Paschen curves for breakdown between plane-parallel electrodes in air and argon at 20oC.

The rise in voltage at the low pd side in Fig. 2.16 occurs because the apparatus is small, or the gas density low, such that electrons are lost to the walls without colliding with gas atoms and producing ionization. The rise in the required voltage on the right side happens because the electron energy is becoming too low to produce ionization. This can occur at high pressures, because electron collisions with gas atoms become so frequent that the electrons cannot accumulate sufficient energy to overcome the ionization potential. It can also occur at a given applied voltage in a very large chamber where local electric fields in the plasma are too weak to deliver sufficient energy to the electrons between collisions.

Plasmas in Deposition Processes

81

The functional form of the curves in Fig. 2.16 provides a useful guide for adjusting the operating conditions within a given device in order to produce a plasma discharge. Conversely, the relation provides guidance for the prevention of discharges on surfaces such as the back of cathodes. One simply places a grounded shield over the surface to be protected ensuring that the spacing d between the shield and the cathode is small enough that the breakdown voltage is larger than the voltage required to form and sustain plasma discharge at the operating pressure of interest. The above considerations are also important in apparatus scaling. A discharge sustained in a small apparatus must have a high average electron energy to counteract wall losses. Such a discharge, with the same electron density but in a larger apparatus size, will be sustained at a lower average electron energy. This can in turn change the active species that are produced. Thus, small-bore discharge tubes are sometimes used in lasers to elevate the average electron energy to a desired value. Typical glow discharge electron densities are in the range of 108 to 10 12 cm -3 with average electron energies of 1 to 30 eV. These conditions are shown in Fig. 2.17 and compared with other forms of discharges.

Figure 2.17. Regions of average electron density and energy representative of various types of plasmas (from Ref. 7).

82

Deposition Technologies for Films and Coatings

4.3 Cold Cathode Discharges A low-pressure cold-cathode discharge is one which is maintained primarily by secondary electrons emitted from the cathode due to bombardment by ions from the plasma. These secondary electrons are accelerated in the cathode dark space and enter the negative glow, as shown in Fig. 2.18, where they are known as primary electrons. Each primary electron must produce a sufficient number of ions to result in the ejection of another secondary electron from the cathode.[15] The secondary electron emission coefficient is typically about 0.1 for low-energy Ar+ ions (such as are used in sputtering) incident on clean metal surfaces.[26] The coefficient is larger, for example, for oxidized surfaces but still small enough that each primary electron must produce, or lead to the production of, a plurality of ions.[15]

Figure 2.18. Schematic illustration of a cold-cathode discharge.

The negative glow (NG) region of the plasma is where the primary electrons expend their energy, and its extent corresponds to the range of their travel from the cathode.[5][15] The electron energy distribution in the NG is multimodal. It consists of primary electrons, ultimate electrons (primaries that have transferred their energy), and much larger numbers of low-energy ionization products. In the classical glow discharge described in most

Plasmas in Deposition Processes

83

textbooks, a positive column (PC) extends from the NG to the anode.[5][15][17] The PC is a region in which the electric field is just sufficient to transport the discharge current from the NG to the anode and to produce sufficient ionization to make up for wall losses. In planar-diode material-processing sources of the type shown in Figs. 2.12 and 2.13, the substrate mounting table or anode generally intercepts the NG and there is no PC. A consequence of this small inter-electrode spacing is that the operating pressures are relatively high (see discussion of the Paschen relationship in Sec. 4.2). For example, reasonable operating conditions for DC planar-diode Ar sputtering discharges are: 75 mTorr pressure with a substrate-to-cathode spacing of 4.5 cm, a current density of 1 mA/cm2, and a discharge voltage of 3,000 V. In order for a cold-cathode discharge to operate effectively at low pressures, it is necessary that the primary electrons be preserved and not lost from the system until they have had a chance to expend their energy in ionization. The hollow cathode geometry shown in Fig. 2.19 is effective in this respect. Electrons which are accelerated in the cathode dark space and enter the NG cannot escape once they have lost an amount of energy about equal to their initial ejection energy (which is only a few eV)[26] since they encounter a sheath with repulsive forces whenever they approach the cathode. The only losses are out of the ends, and long hollow cathodes with minimized end losses can be operated effectively at low pressures and voltages. Accordingly, hollow cathodes are often used as ionization sources.[27]

Figure 2.19. Schematic illustration of a hollow cathode discharge.

84

Deposition Technologies for Films and Coatings

4.4 Magnetron Discharges Magnetron discharge sources are assuming increasing importance for sputter deposition. Therefore, these discharges are discussed in some detail in Ch. 5. It will simply be noted here that magnetrons are cold cathode discharge devices in which magnetic fields are used in concert r r with cathode surfaces to form traps which are so configured that the E x B electron drift currents can close upon themselves.[1] The cylindrical-post configuration shown in Fig. 2.20 provides one of the simplest examples of a magnetron. Primary electrons which leave the cathode barrel and enter the plasma find themselves trapped in an annular cavity which is closed on three sides by surfaces at cathode potential (the hollow cathode effect) and on the fourth side by the magnetic field. The electrons can diffuse across the magnetic field and reach the anode only by making collisions (the process illustrated in Fig. 2.6c) and by plasma oscillations (see Sec. 3.3).[22] Because of the effectiveness of the collisions in producing ionization, these discharges are extremely efficient and operate at pressures of less than 1 mTorr with high current densities (10 - 200 mA/cm2 ) and low voltages (700 - 1,000 V). Planar magnetrons in which plasma rings are magnetically confined on planar cathodes are very important in sputter-deposition technology.[28][29]

Figure 2.20. Cylindrical-post magnetron sputtering source with electrostatic end confinement.

Plasmas in Deposition Processes

85

4.5 RF Discharges RF-driven planar diode discharge devices of the type shown in Figs. 2.12a, 2.13b, 2.13d, and 2.13e are used for sputter deposition, plasmaassisted etching, and plasma-assisted CVD. Their application to sputtering is discussed in detail in Ch. 5. The operating frequency is generally 13.56 MHz, since this is the frequency in the 10 to 20 MHz range that has been allocated by the FCC for industrial applications. At this frequency, only the electrons can follow the temporal variations in applied potential. Thus the plasma can be pictured as an electron gas that moves back and forth at the applied frequency in a sea of relatively stationary ions. As the electron cloud approaches one electrode, it uncovers ions at the other electrode to form a positive ion sheath. This sheath takes up nearly the entire voltage as in the DC case. The ions are accelerated by this voltage and bombard the electrodes. The RF discharge can be further understood by examining the electrode current flow. These discharges are capacitive in nature, both because of external capacitance which is placed in the electrical circuits and because one or both electrode surfaces are generally nonconducting. Consequently, the total ion and electron charge flow to a given electrode during an RF cycle must balance to zero and a self bias that is negative with respect to the plasma potential develops on any surface that is capacitively coupled to a glow discharge.[51] The basis for this behavior is illustrated in Fig. 2.21, where the current/voltage characteristics are shown for an electrode immersed in a glowdischarge plasma. Because of the mobility difference between the electrons and the ions, much larger currents are drawn when the electrode is positive relative to the floating potential than when it is negative (upper figure). In order to achieve zero net current flow, it is necessary for the DC bias to develop such that the average potential is negative relative to the floating potential, as shown in the lower figure. Thus both electrodes exceed the floating potential (and become anodes) only for short portions of each RF cycle. Most of the time they are cathodes. Because of their inertia, the motion of the ions can be approximated as if they follow the DC potential and flow to both electrodes throughout the cycle. RF discharges in planar diodes can be operated at considerably lower pressures than DC discharges. Typical operating pressures are 5 to 15 mTorr. This is due to two reasons: a reduction in the loss of primary electrons and, at high frequencies, by an increase in the volume ionization efficiency. A fraction of the lower-energy primary electrons are repelled from the electrode toward which they are accelerated and thus remain in the

86

Deposition Technologies for Films and Coatings

(a)

(b)

Figure 2.21. The formation of a negative bias on a capacitively-coupled surface in an RF glow discharge (from Ref. 51).

discharge longer to make additional ionizing collisions. In addition, electrons can gain energy from the RF field by making in-phase collisions with gas atoms. That is, if an electron, accelerated in one direction during a given halfcycle, makes an elastic collision in which its direction is reversed near the end of the half-cycle, it maintains most of its velocity (due to the large mass mismatch between electrons and ions) and will again be accelerated during the next half-cycle and thus have gained energy during the complete

Plasmas in Deposition Processes

87

cycle. As the pressure is increased, the volume ionization due to electrons accelerated by the oscillating electric field becomes increasingly important Accordingly, when the planar and cylindrical plasma discharge devices shown in Fig. 2.13 are used for plasma-assisted etching, CVD, and polymerization, the operating pressures are generally high enough that volume-accelerated electrons dominate in producing excitation and ionization. The same is true for high-frequency microwave type discharges.

5.0 PLASMA VOLUME REACTIONS 5.1 Introduction Electron bombardment of atoms and molecules results in excitation ionization, and dissociation, thereby producing a variety of active species and radicals having much different chemical activities than those of the parent gas.[30][31] Thus, although He and Ar atoms are inert, He+ ions with one valence electron are hydrogenic. Ar+ ions are similar to Cl and can react with H2 molecules to form HAr+ ions.[30] Electron ionization processes are obviously important in the sustaining of plasma discharges. The excitation and dissociation processes are important in plasma chemistry and form the basis for plasma-assisted etching, plasma-assisted CVD, and plasma polymerization. 5.2 Electron/Atom Interactions An electron with a kinetic energy which exceeds the ionization energy of an atom has as approximately equal probability of producing either excitation or ionization as it passes in close proximity to the atom. A semiclassical picture of such a collision is shown in Fig. 2.22. The Coulomb force from the electron produces an electric field at the atom. The component of this field which is perpendicular to the direction of electron motion (E⊥) produces a time-varying “impulsive” electric field which can act on the atom. The electric field pulse is equivalent to that which would be produced by a beam of photons having frequencies corresponding to the Fourier components of the pulse.[32] The point is that an electron passing close by an atom does not simply knock an electron out of the atom, but produces a perturbation at the atom which may be approximated as a beam of white light that induces electronic excitation and ionization in proportion to the optical oscillator strengths.

88

Deposition Technologies for Films and Coatings

Figure 2.22. Virtual photon model of an electron-atom collision (from Ref. 32).

In making plasma calculations, the average energy Wei spent by an electron in creating an electron-ion pair in a given gaseous medium is often used. Values of Wei for various atoms and molecules are shown in Table 2 along with values for the ionization potential I. Note that Wei/I ≈ 2; i.e., there is an almost equal probability of producing either excitation or ionization, although excitation is more probable in molecules. 5.3 Electron/Molecule Interactions Electron interactions with molecules produce excitation and ionization via mechanisms essentially identical to those for atoms as described above. The primary difference is in the fate of the excitation energy. In the atomic case, the excitation energy is lost by radiation unless the transitions are quantum-mechanically forbidden (see Sec. 5.4 below). In the molecular case, it may result in dissociation of the molecules. Consider the case of CF4 , a gas which is commonly used in plasma etching. The threshold for producing excitation is 12.5 eV.[33] The excitation reaction can be written as e− + CF4 → CF4* + e−

Plasmas in Deposition Processes

89

where the symbol * refers to an excited species. There is evidence that all electronic excitation processes in CF4 produce dissociation. [33] Furthermore, because of the two-step nature of the excitation-dissociation process, one bond is broken, and the primary radicals produced are CF3 and F rather than CF2 and F2.[34] The active F atoms produced in this way play a very important role in many plasma etching processes. Table 2.2. Approximate Energy Spent to Create Electron-Ion Pairs[32] Atom or Molecule He Ne Ar Kr Xe H2 N2 NO CO O2 CO2 C2H2 CH4 C2H4 C2H6 C3H6 C3H8 C6H6

Wei (eV) 46 37 26 24 22 36 36 29 35 32 34 28 29 28 27 27 26 27

I (eV) 24.58 21.56 15.76 14.00 12.13 15.43 15.59 9.25 14.04 12.15 13.81 11.40 12.99 10.54 11.65 9.73 11.15 9.23

W ei / I 1.87 1.71 1.65 1.71 1.81 2.33 2.31 3.13 2.49 2.63 2.46 2.45 2.23 2.65 2.31 2.77 2.33 2.92

The ionization process can also result in dissociation. Thus, one has dissociative ionization reactions of the form e− + CF4 → CF3 + + F + 2e− as well as simple molecular ionization e− + O2 → O2 + + 2e − It has been noted that plasma discharges often contain relatively large numbers of low energy electrons which have expended their energy in making inelastic collisions (this is particularly true in regions of low electric field such as the negative glow). These electrons can attach to electronegative molecules to form negative ions[23] such as e− + O2 → O2−

90

Deposition Technologies for Films and Coatings

The ion may then dissociate, for example O2− → O− + O Atomic constituents of small molecules such as F2 cannot recombine in two-body gas-phase collisions because the diatomic molecule formed cannot conserve both energy and momentum. Thus the gas-phase recombination reaction requires a third body. Accordingly, the lifetime for such atoms in a plasma reactor can be long except when the working pressure is high. However, when two molecular radicals associate, the energy of dissociation can be distributed within a large number of internal degrees of freedom. Accordingly, the association efficiency is close to unity for simple radicals.[23] Thus, for example, one has CH3 + CH3 → C2 H6 The decay of initial reaction products in cascading reactions, with the development of high molecular weight species, is a well-known characteristic of the radiation chemistry of hydrocarbons and halocarbons in both the gas and solid phases.[31] The general hierarchy for the production of active species in a molecular gas plasma is shown schematically in Fig. 2.23. 5.4 Metastable Species An important consideration in using plasmas for materials processing is the ability of active species to diffuse from the point of production to a point of reaction. Atoms or molecules that are excited into electronic states which can decay radiatively have very short lifetimes (~10−9 s). However, some excited states are forbidden by quantum mechanical considerations from undergoing radiative transitions. Atoms and molecules in these metastable states have sufficiently long lifetimes that they can carry their stored electronic energy from the immediate vicinity of the discharge plasma to other points in a reactor. Atoms or molecules can be excited directly into metastable states, or can arrive in these states by radiative decay after having been excited into states of higher energy. Consequently, a plasma may contain relatively large numbers of metastable species and they can have an important effect on the overall discharge chemistry. Metastable states are depopulated when the atoms undergo collisions. Thus, for example, a metastable atom A* may subsequently pass its excitation energy to another particle, thereby

Plasmas in Deposition Processes

91

producing ionization or dissociative ionization in atoms or molecules of lower ionization potential, as indicated below.[35] A* + Y → Y+ + A + e− A* + XY → XY+ + A + e− A* + XY → X + + Y + A + e− These reactions are known as Penning ionization processes.

Figure 2.23. Schematic illustration of the production of active species in a molecular plasma.

92

Deposition Technologies for Films and Coatings

5.5 Applications of Volume Reactions Primary applications of interest here are plasma-assisted CVD,[36] plasma-assisted etching,[37] and plasma polymerization (38). In each of these cases, the advantage of using a plasma is that it can effectively produce reactions at low substrate temperatures. In some cases, the reactions are unique. An example is provided by the plasma-assisted deposition of Si3N4 using a SiH4-NH3 plasma. The plasma chemistry is not understood in detail, however the overall reaction is 3SiH4 + 4NH3 → Si3N4 + 12H2 The important point is that the substrate temperature is typically 300oC or lower. When the same reaction is carried out by conventional chemical vapor deposition, the substrate temperatures are typically between 800 - 1200oC.[39] The lower substrate temperatures in plasma-assisted CVD are particularly important in electronic applications where coatings are deposited onto device structures. The average electron energies in plasma-assisted CVD are typically low, ≈1 - 10 eV, such that the plasma chemistry is dominated by radicals rather than ions.[52] Bond energies are therefore an important criteria in the selection of reactants for a desired process. For example, one of the functions of the plasma during deposition of nitride films is to provide atomic N in the gas phase since the partial pressure of atomic N required to obtain stoichiometric nitride films is much smaller than that of N2. However, 9.83 eV is required to obtain N atoms by cleaving the N2 molecule, N2 → NH2 + H

(∆H = 9.83 eV)

Alternatively, N atoms can be obtained more efficiently through the following steps starting with NH3: NH3 → NH2 + H

(∆H = 4.76 eV)

NH2 → NH + H

(∆H = 3.90 eV)

NH → N + H

(∆H = 3.42 eV)

Plasmas in Deposition Processes

93

in which no reaction step requires more than 4.76 eV. This explains why NH3 is commonly used in Si3 H4 plasma-assisted CVD deposition. Similarly, the following reactions show why nitrous oxide N2 O, rather than O2, is often used as a parent donor molecule for O atoms during plasma CVD deposition of oxides. N2 O → N2 + O

(∆H = 1.73 eV)

O2 → 2O

(∆H = 4.13 eV)

Plasma-assisted etching is similar to plasma-assisted CVD, except that a volatile rather than an involatile compound is produced at the substrate. Thus, for example, Si etching is accomplished by using a glow discharge to generate active F atoms from an inert molecular gas such as CF4. The F atoms cause etching of the Si by forming volatile compounds such as SiF4 on the Si surface. Plasma polymerization often proceeds in a series of steps.[38] Thus, for example, high molecular weight species can be formed in a glow discharge from low molecular weight starting material by the association processes discussed in the previous section. These high molecular eight species condense on the substrates, where they are cross-linked by plasma radiation and electron bombardment to form a polymer film.

6.0 SURFACE REACTIONS 6.1 Introduction Surfaces in contact with plasmas are bombarded by electrons, ions, and photons. The electron and ion bombardment is important and is used in materials processing, particularly during deposition and etching. Less is known about the influences of the plasma radiation. The relative number of ions and electrons which are incident on a surface depends on whether it is biased as a cathode, an anode, or is electrically isolated. In this section, some of the effects of ion bombardment and electron bombardment, and of plasma bombardment of an electrically floating surface, are discussed briefly. 6.2 Ion Bombardment The momentum exchange associated with ion bombardment can cause rearrangement and ejection (sputtering) of surface atoms. The

94

Deposition Technologies for Films and Coatings

rearrangement can have dramatic effects on the structure and properties of a growing film[53] and is of importance in the processes of ion plating and bias sputtering. The ejection is important in the processes of sputter cleaning and deposition. Accordingly, these mechanisms are discussed in considerable detail in Chs. 5 and 13. At low working pressures (collisionless ion transport), the energy of ions bombarding a cathode surface will be about equal to the difference between the cathode potential and the plasma potential (approximately equal to the applied cathode-to-anode potential). The current density, bias voltage, sheath thickness, and plasma properties are related by Eqs. 38 and 39. At higher pressures, where ion collisions become important, the bombarding flux consists of both ions and energetic neutrals because of charge exchange collisions (see Fig. 2.10). Thus the average bombardment energies are considerably less than the potential drop across the cathode dark space. This is illustrated in Fig. 2.24 with a histogram showing the cathode arrival energies of 100 Ar+ ions which have crossed a sheath having a voltage Va in Ar gas at 2.5 mTorr. Approximately half (45%) of the ions arrive at the cathode with energies corresponding to less than 10% of the sheath voltage. The sheath parameters for the high pressure case are related by Eq. 39.

Figure 2.24. Calculated ion-energy distribution histogram showing the effect of charge exchange (from Ref. 50).

Plasmas in Deposition Processes

95

Ion bombardment can greatly influence the processes involved in the adsorption of molecules onto surfaces and their subsequent reactions. The process of molecular adsorption[41] and surface compound formation is illustrated in Fig. 2.25 for the case of gas phase etching. The CVD case with the formation of a nonvolatile product is similar. Any of the steps shown in the figure can be rate-limiting. Physical adsorption is due to polarization (van der Waals) bonding. It is a nonactivated process and occurs with all gas surface combinations under appropriate conditions of temperature and pressure. Adsorption energies are typically less than 0.5 eV. Chemisorption involves a rearrangement of the valence electrons of the adsorbed and surface atoms to form a chemical bond. It involves an activation energy and has a high degree of specificity between gas-surface combinations. Adsorption energies are typically 1 to 10 eV. Molecules may be chemisorbed in their molecular state or may dissociate into atoms. The latter case is known as dissociative chemisorption. Dissociative chemisorption is generally a precursor to compound formation, which is also an activated process. Various types of chemisorption bond sites can exist on a solid surface. Thus both molecular and dissociative chemisorption can occur simultaneously on the same surface. Ion bombardment can influence these processes in the following ways: 1. Ion bombardment can cause adsorbed molecules to dissociate, thereby overcoming the activation energy for this process. 2. Ion bombardment can create surface defect sites which have reduced activation energies for the occurrence of dissociative chemisorption or for the formation of a solid compound. 3. Ion bombardment can remove (by sputtering) foreign species from a surface. Such species may interfere with the dissociative chemisorption of a preferred species. Low-energy ion irradiation during film deposition can have dramatic effects on the microstructure and microchemistry, and hence physical properties, of as-deposited layers as discussed in detail in Chapter 13 and Ref. 53. Applications in which low-energy ion/surface interactions have been used to modify film microstructure include: densification and increased oxidation resistance of optical films; minimization or elimination of columnar microstructure in microelectronic metallization layers; altering the state of stress, average grain size, and preferred orientation; increased film/substrate adhesion; enhanced conformal coverage; controlled magnetic anisotropy in recording layers; and “low-temperature” epitaxy.

96

Deposition Technologies for Films and Coatings

Figure 2.25. Schematic representation of surface chemisorption and volatile compound formation during dry etching.

While films in most of the above application areas are deposited by bias sputter deposition or plasma-assisted CVD, experiments to isolate ion irradiation effects are often carried out using ion beams. One example is illustrated in Fig. 2.26 showing experimental and calculated (Monte Carlo simulations) densities of CeO2 films deposited at ambient temperature by simultaneous evaporation of Ce and O2+ irradiation from an ion-beam source. The experiments were carried out as a function of ion energy Ei for an ion-tovapor flux ratio of Ji /Jv of unity.[54] The film density initially increased with increasing Ei due primarily to ion implantation, recoil implantation, and, to a lesser extent, sputtering of weakly bound species. However, an optimum Ei for densification was reached as an increasing fraction of the ion energy was lost deeper in the lattice leaving vacancies which could not be filled by arriving vapor species. The optimum ion energy, which depends upon the masses of the collision partners, was ≈200 eV in this case. It should be noted, as discussed in Ch. 13, that while ion irradiation is useful for increasing the density and modifying the microstructure of films deposited at low temperatures, other irradiation-induced effects such as increased defect densities occur simultaneously. This is shown in Fig. 2.27 from the work of Huang et al.[55] who studied the effects of Ar+ ion bombardment during the growth of Ag films at room temperature using a dual ion beam apparatus. They found that the grain size decreased while the dislocation number density increased with increasing average irradiation energy per deposited Ag atom. At elevated growth temperatures, however,

Plasmas in Deposition Processes

97

low-energy ion irradiation can have the opposite effect and actually reduce residual defect densities in as-deposited films. This has been demonstrated by Hultman et al.[56][57] who used electron microscopy to investigate the dislocation structure in epitaxial TiN films deposited by bias magnetron sputtering of Ti in pure N2 at growth temperatures between 550 and 850oC.

Figure 2.26. Experimental and theoretical values of the density D of CeO2 films deposited at ambient temperature by simultaneous evaporation of Ce and ionbeam acceleration of O2+ as a function of ion energy Ei for an ion-to-vapor flux ratio Ji /Jv = 1. The bulk density of CeO2 is 8.1 g/cm3 (from Ref. 54).

In addition to modifying film microstructure, low-energy ion irradiation is often used during thin-film growth to controllably alter the composition of as-deposited layers. Examples include preferential sputtering from the growing film during deposition of alloys[58]-[61] enhanced reactive gas incorporation during deposition of compounds[62]-[65] and increased dopant incorporation probabilities combined with better control of dopant depth distributions.[66][67] Again, however, ion bombardment can result in potentially deleterious effects, depending upon experimental design, such as rare-gas incorporation in sputter-deposited films.[68]-[71] Mechanisms associated with accelerated-particle/film interactions leading to changes in incorporation

98

Deposition Technologies for Films and Coatings

probabilities range from purely physical effects such as implantation and recoil processes to irradiation-assisted chemistry.

Figure 2.27. The average grain size and dislocation number density nd in Ag films deposited at room temperature as a function of the average energy 〈E〉 per deposited atom (from Ref. 55).

Reactive ion etching technology also relies heavily on ion-irradiationinduced effects for both stimulating chemical reaction channels and providing anisotropy control. An example of the former is shown in Fig. 2.28 illustrating results for Ar+-ion-assisted F2 /Si chemistry. F2 has a very low probability for dissociative chemisorption on Si.[34] Consequently the etch rate via the formation of volatile SiF2 is low. Ar+ irradiation greatly increases the etch rate by promoting dissociative chemisorption. Fig. 2.28 shows that for the experimental conditions listed, the Si sputter etch rate using 500 eV Ar+ was 2.5 Å/min. The etch rate increased by a factor of approximately 3.5 in the presence of F2 gas. However, the Si etch rate due to F2 itself, in the absence of Ar+ irradiation, was less than 0.1 Å/min.

Plasmas in Deposition Processes

99

Figure 2.28. The results of beam experiments designed to investigate ionstimulated interactions between F2 and Si (from Ref. 42).

100

Deposition Technologies for Films and Coatings

6.3 Electron Bombardment Electron irradiation is a primary source of substrate heating during film deposition by DC and RF diode sputtering.[72][73] Energetic electron, as well as photon, irradiation of ionically bonded substrates has also been shown to strongly affect film nucleation kinetics through the creation of charged surface vacancies which act as preferential adsorption sites.[74]-[77] Reduced epitaxial temperatures have been reported for many film/substrate combinations including Si and Ge on NaCl[78] and PbTe on CaF2 .[76] Electron irradiation can also give rise to surface chemistry during film growth through, for example, excitation and ionization of adsorbed molecules into states leading to dissociation, bond rearrangement, or desorption. Adsorbed organic molecules can be polymerized by electron irradiation. An example of electron-stimulated surface chemistry during plasma etching is shown in Fig. 2.29. XeF2 dissociatively chemisorbs on SiO2 but etching does not occur due to a high activation barrier for the reaction channel leading to the formation of SiF4. Electron bombardment alone has been observed to remove O from the surface of SiO2 and produce elemental Si,[43][44] but it does not cause etching. However, when SiO2 is subjected to electron bombardment in the presence of XeF2 , etching occurs at relatively high rates, ≈ 200 Å/min in the example given in Fig. 2.29.[42] 6.4 Glow Discharge Surface Cleaning and Activation Glow discharge cleaning, in which electrically isolated parts are immersed in a low-pressure plasma, has been used for many years,[45] particularly for glass and other non-conducting materials that cannot be subjected to simple DC sputter etching. The process, although highly empirical, often provides an effective final cleaning step prior to vacuum deposition. Working gases are typically air, O2, or Ar. Recent work on damage production and sputter cleaning of substrate surfaces prior to epitaxial growth[79]-[82] suggests that low-energy ionirradiation-induced damage can be continuously annealed out at elevated temperatures. Yu[82] used low-energy electron diffraction (LEED) to show that the temperature required to maintain a Si(111)7x7 surface reconstruction during Ne+ ion irradiation decreased from≈ 450 to 150 oC as the ion energy was decreased from 500 to 80 eV. In sputter cleaning experiments employing cross sectional transmission electron microscopy, Gaverick et al.[81] used a low power RF plasma with an acceleration potential of 100 V to etch Si(100) substrates at 750oC immediately prior to Si deposition bylow-

Plasmas in Deposition Processes

101

Figure 2.29. The results of beam experiments designed to investigate electronstimulated interactions between XeF2 and SiO2 (from Ref. 42).

102

Deposition Technologies for Films and Coatings

pressure CVD. Rutherford backscattering spectroscopy combined with planview and cross sectional transmission electron microscopy analyses showed the film and substrate to be defect free. Corona discharges, operated at atmospheric pressure, have long been used to prepare polymer surfaces for processing. More recently, low-pressure glow discharges are being used to modify surface chemistry and promote adhesion with vacuum-deposited metal overlayers. X-ray photoelectron spectroscopy (XPS) studies of the effects of O2 plasma treatments on ABS, polypropylene,[46] and polystyrene[47] surfaces showed the formation of both single and double C-O bonds. This, in turn, led to stronger metal overlayer adhesion through the formation of oxygen bridge bonds between C and metal atoms. Bodo and Sundgren[83] obtained similar increases in metal overlayer adhesion for Ti on polyethylene using an Ar+ bombardment pretreatment to remove low molecular weight impurities, promote cross-linking, and allow the formation of a carbidic Ti-C interfacial layer as observed in XPS. Both Ar+ ion irradiation and O2 plasma pretreatments also increased the adhesion of T on polydimethylsiloxane (a silicone rubber) due to the formation of Ti-C and Ti-O bonds.[84]

ACKNOWLEDGEMENTS The authors gratefully acknowledge the support of the Joint Services Electronics Program and the Materials Science Division of the Department of Energy over the course of several years.

Plasmas in Deposition Processes

103

REFERENCES 1. Thornton, J. A. and Penfold, A. S. in:Thin Film Processes, (J. L. Vossen and W. Kern, eds.), p. 75, Academic Press, New York (1978) 2. McDaniel, E. W., Cermak, V., Dalgarno, A., Ferguson, E. E. and Friedman, L., Ion-Molecule Reactions, p. 345, Wiley-Interscience, New York (1970) 3. Hirschfelder, J. O., Curtiss, C. F. and Bird, R. B., Molecular Theory of Gases and Liquids, p. 523, Wiley, New York (1954) 4. Sutton, G. W. and Sherman, A.,Engineering Magnetohydro-dynamics, McGraw-Hill, New York (1965) 5. Cobine, J. D., Gaseous Conductors, Dover, New York (1958) 6. The Applications of Plasmas to Chemical Processing, (R. F. Baddour and Robert S. Timmins, ed.), MIT Press, Cambridge, Mass. (1967) 7. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978) 8. Griem, H. R., Plasma Spectroscopy, p. 129, McGraw-Hill, New York (1964) 9. ter Haar, D., Elements of Statistical Mechanics, p. 381, Holt, Rinehart and Winston, New York (1960) 10. Rose, D. J. and Clar, M., Jr.,Plasmas and Controlled Fusion, p. 80, MITWiley, New York (1961) 11. Chen, F. F., Introduction to Plasma Physics, Plenum Press, New York (1974) 12. Spitzer, L., Jr., Physics of Fully Ionized Gases, Interscience, New York (1956) 13. Delcroix, J. L. Introduction to the Theory of Ionized Gases, p. 128, Interscience, New York (1960) 14. Chapman, S. and Cowling, T. G., The Mathematical Theory of NonUniform Gases, p. 90, Cambridge Univ. Press, Cambridge, England (1960) 15. von Engel, A.,Ionized Gases, Clarendon Press, Oxford, England (1965) 16. McDaniel, E. W., The Mobility and Diffusion of Ions in Gases, p. 132, Wiley, New York (1973)

104

Deposition Technologies for Films and Coatings

17. Brown, S. C., Basic Data of Plasma Physics, MIT Press, Cambridge, Mass. (1959) 18. Chen, F. F., in: Plasma Diagnostic Techniques, (R. H. Huddlestone and S. L. Leonard, eds.), p. 113, Academic Press, New York (1965) 19. Mittleman, M. H., in: Plasma Dynamics, (F. H. Clauser, ed.), p. 54, Addison-Wesley, New York (1960) 20. Bohm, D., Burhop, E. H. S. and Massey, H. S. W., in:The Characteristics of Electrical Discharges in Magnetic Fields, (A. Guthrie and R. K. Wakerling, eds.), p. 13, McGraw-Hill, New York (1949) 21. Glasstone, S., and Louberg, R. H.,Controlled Thermonuclear Reactions, p. 459, Van Nostrand, New York (1960) 22. Thornton, J. A., J. Vac. Sci. Technol., 15:171 (1978) 23. McTaggart, F. K., Plasma Chemistry in Electrical Discharges, Elsevier, New York (1967) 24. Ganger, B., Der Elecktrische Durchschlag, Springer-Verlag, Berlin (1953) 25. Brown, S. C. and MacDonald, A. D., Phys. Rev., 76:1629 (1949) 26. McDaniel, E. S., Collision Phenomena in Ionized Gases, Ch. 13, Wiley, New York (1964) 27. Williams, D. G., J. Vac. Sci. Technol., 11:374 (1974) 28. Fraser, D. B., in: Thin Film Processes, (J. L. Vossen and W. Kern, eds.), p. 131, Academic Press, New York (1978) 29. Waits, R. K., Ibid, p. 131 30. Libby, W. F., J. Vac. Sci. Technol., 16:414 (1979) 31. Transfer and Storage of Energy by Molecules,(G. M. Burnett and A. M. North, eds.), Wiley-Interscience, New York (1969) 32. Christophourou, L. G., Atomic and Molecular Radiation Physics, p. 6, Wiley-Interscience, New York (1971) 33. Winters, H. F., Coburn, J. W. and Kay, E.,J. Appl. Phys.,48:4973 (1978) 34. Coburn, J. W. and Winters, H. F., J. Vac. Sci. Technol., 16:392 (1979) 35. Muschlitz, E. E., Jr., Science, 159:599 (1968)

Plasmas in Deposition Processes

105

36. Hollahan, J. R. and Rosler, R. S., in: Thin Film Processes, (J. L. Vossen and W. Kern, eds.), p. 335, Academic Press, New York (1978) 37. Melliar-Smith, C. M. and Mogab, C. J., Ibid, p. 497 38. Yasuda, H. Ibid, p. 361 39. Kern, W. and Ban, V. S., Ibid, p. 257 40. See Ch. 7 41. Chemisorption and Reactions on Metallic films, (J. R. Anderson, ed.), Academic Press, New York (1971) 42. Coburn, J. W. and Winters, H. F., J. Appl. Phys., 50:3189 (1979) 43. Thomas, S., J. Appl. Phys., 45:161 (1974) 44. Carriere, B. and Lang, B., Surface Science, 64:209 (1977) 45. Holland, L.,Vacuum Deposition of Thin Films, Ch. 3, Chapman and Hall Ltd., London (1966) 46. Burkstrand, J. M., J. Vac. Sci. Technol., 15:223 (1978) 47. Burkstrand, J. M., Appl. Phys. Lett., 33:387 (1978) 48. Hansen, R. H. and Schonhom, H., Polymer Lett., 4:203 (1966) 49. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385 (1972) 50. Davis, W. D. and Vanderslice, T. A., Phys. Rev., 131:219, (1963) 51. Butler, H. S. and Kino, G. S., Phys. Fluids, 6:1346 (1963) 52. Gorczyca, T. B. and Gorowitz, B., in: VLSI Electronics: Microstructure Science, 8:69, (N. G. Einspruch and D. M. Brown, eds.), Academic Press, New York (1984) 53. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in: IonBeam Assisted Film Growth, p. 101, Elsevier, Amsterdam (1988) 54. Muller, K. H., Applied Physics, A40:209 (1986) 55. Huang, T. C., Lim, G., Parmiagiani, F. and Kay, E., J. Vac. Sci. Technol., A3:2161 (1985) 56. Hultman, L., Helmersson, U., Barnett, S. A., Sundgren, J. E. and Greene, J. E, J. Appl. Phys., 61:552 (1987)

106

Deposition Technologies for Films and Coatings

57. Hultman, L., Barnett, S. A., Sundgren, J.-E. and Greene, J. E.,J. Crystal Growth,92:639 (1988) 58. Winters, H. F., Ramondi, D. L. and Horne, D. E., J. Appl. Phys., 40:2996 (1969) 59. Tarng, M. L. and Wehner, G. K., J. Appl. Phys., 42:2449 (1971) 60. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Technol., 12:79 (1975) 61. Zilko, J. L. and Greene, J. E., J. Appl. Phys., 51:1549 (1980) 62. Brett, M. J. and Parsons, R. R., Can. J. Phys., 63:819 (1985) 63. Shimizu, S., Tsukakoshi, T., Komiya, S. and Makita, Y., GaAs and Related Compounds, Inst. Phys. Conf. Series 79:91 (1985) 64. Harper, J. M. E., Cuomo, J. J. and Hentzell, H. T. G., J. Appl. Phys., 58:550 (1985) 65. Sundgren, J. E., Johansson, B. O., Rockett, A., Barnett, S. A. and Greene, J. E., Physics and Chemistry of Hard Coatings, American Inst. Phys. Series Conf. Proc. 149:95 (1986) 66. Hasan, M. A., Knall, J., Barnett, S. A., Sundgren, J. E., Markert, L. C., Rockett, A. and Greene, J. E., J. Appl. Phys. 65:172 (1989) 67. Fons, P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E., Ni, W. X., Knall, J., Hansson, G. V. and Sundgren, J. E., Appl. Phys. Letters, 53:1732 (1988) 68. Winters, H. F. and Kay, E., J. Appl. Phys., 38:2928 (1967) 69. Pan, A. and Greene, J. E., Thin Solid Films, 78:25 (1981) 70. Hoffman, D. W. and Thornton, J. A.,J. Vac. Sci. Technol., 20:355 (1982) 71. Hultman, L., Markert, L. C., Sundgren, J. E. and Greene, J. E., Appl. Phys. Letters, 53:1175 (1988) 72. Ball, D. J., J. Appl. Phys., 143:3047 (1972) 73. Lau, S. S., Mills, R. H. and Muth, D. G., J. Vac. Sci. Technol., 9:1196 (1972) 74. Stirling, D. J., Appl. Phys. Letters, 9:326 (1966) 75. Palmberg, P. W., Todd, C. T., and Rhodin, T. N.,J. Appl. Phys., 39:4650 (1968)

Plasmas in Deposition Processes

107

76. Jordan, M. R. and Stirland, D. J., Thin Solid Films, 8:221 (1971) 77. Lord, D. G. and Prutton, M., Thin Solid Films, 21:341 (1974) 78. Shimaoka, G., J. Cryst. Growth, 31:92 (1975) 79. Ronsille, R., Boch, R., Destefanis, G. L., and Tissot, J. L., Appl. Phys. Letters, 44:679 (1984) 80. Comfort, J. H., Gaverick, L. M., and Reif, R., J. Appl. Phys., 62:3388 (1987) 81. Gaverick, L. M., Comfort, J. H., Uyeh, T. R., Reif, R., Baiocchi, F. A. and Luftman, H. S., J. Appl. Phys., 62:3398 (1987) 82. Yu, M. L., Appl. Phys. Letters, 40:986 (1982) 83. Bodo, P. and Sundgren, J. E., J. Vac. Sci. Technol., A2:1498 (1984) 84. Bodo, P. and Sundgren, J. E., Thin Solid Films, 136:147 (1986)

3 Surface Preparation for Film and Coating Deposition Processes Donald M. Mattox

1.0 INTRODUCTION

The termsurface preparation has many interpretations depending on the application. For instance, atomically clean surfaces are of particular interest in some studies and these surfaces may be prepared by cleaving a crystal (in an ultrahigh vacuum), or other very careful surface preparation in ultra-high vacuum.[1] Deposition techniques that are extremely sensitive to surface preparation include molecular beam epitaxy (MBE) where great pains are taken to clean the surface before the deposition of the epitaxial layer, and surface chemical reaction studies where submonolayer coverages are important. Other deposition techniques such as ion plating are less sensitive since surface preparation is integral to the deposition process. Substrate preparation for our purposes may be defined as the conditioning of the substrate surface prior to film/coating deposition in order to obtain desirable processing and film/coating properties.[2] Substrate preparation may involve the reduction of the type and amount of “contaminants” to an acceptable level (cleaning), modification of the physical or mechanical properties of the surface,activation of a surface species to enhance reactions, or the addition of desirable species to the substrate surface to aid in nucleation and reaction (sensitization). In the extreme case, surface preparation may mean forming a “new” surface by adding a primer or glue layer. Substrate preparation determines the surface properties and these are directly or indirectly related to the film formation stages of adatom nucle–

108

Surface Preparation

109

ation, interface formation, and film growth. These, in turn, affect film properties such as adhesion, pinhole density, porosity, film microstructure, morphology and mechanical properties. Often local surface properties determine film properties such as pinholes which determine the product yield. Surface treatments that do not influence the product in a desirable way are unnecessary and expensive. Surface preparation is an integral part of any film/coating deposition process. The objective of surface preparation processes is to allow the fabrication of an acceptable product in the most reproducible and economical way. In many cases there are allowable trade-offs between surface preparation and subsequent processing. For example, an increase in the deposition temperature may decrease the surface cleaning requirements. As the technological demands on films and coatings increase, the need for better and more reproducible surface preparation techniques also increases. There is a wide variety of approaches to surface preparation and each film-substrate couple, deposition process, and function requires specific techniques and development. Typically, surface preparation processes are developed empirically and controlled by good processing specifications. Process specifications and travelers are the key to obtaining reproducible surface preparation processing, fabrication processes, and thus product reproducibility. Specifications define the materials, equipment and procedures that are to be used. Travelers define what has been done to each individual part or lot. Specifications are the end-product of a surface preparation development program. Travelers should contain a response by the operator (e.g., time, meter reading, temperature, etc.). An important factor in surface preparation is the condition of the initial surface. A process developed for one surface condition may not be satisfactory for another surface condition. The initial substrate material, condition and history (contamination) should be known, and its condition and properties should be specified where possible. Monitoring of the surface preparation is often difficult since any testing of the surface usually contaminates the surface. Generally, processing relies on following specifications and possibly monitoring and testing samples from each lot of surfaces. In addition to the surface preparation process, the handling and storage of prepared surfaces is an important part of the fabrication process. If the prepared surfaces are used immediately or if the final step of the cleaning process is done as part of the deposition process, the problems of

110

Deposition Technologies for Films and Coatings

maintaining the desired surface may be appreciably different than if the surfaces are exposed to the environment and recontamination, or when surface changes may occur with time. Some film deposition processes and material combinations are more sensitive to surface preparation processes than others. Some deposition processes may have harmful surface effects such as in CVD where hot corrosion of the substrate surface by reaction products may give poor adhesion of the deposited material. In others, the deposition process may aid in surface preparation; in CVD for example, hydrogen firing can clean the substrate surface before the film precursor gases are injected. In many deposition processes the surface preparation is a separate step from the film deposition, but in some cases the deposition process includes a surface preparation step (e.g., ion-plating/sputter-cleaning, hot dip galvanizing/fluxing, electroplating/off-plating). When surface preparation is separate from the deposition process, the preparation of high quality films in many cases requires a final in situ surface preparation step in the deposition system.[3][4] An example of in situ surface preparation is the plasma cleaning of glass prior to deposition of optical coatings and mirror surfaces. This chapter covers a broad range of surface preparation techniques and gives the reader an appreciation of the factors involved in developing a reproducible surface preparation procedure for a specific application.

2.0 CONTAMINATION A contaminant is any material on a surface that interferes with the processing or performance of the surface. Contaminants may be reacted layers such as oxides, adsorbed layers such as hydrocarbons, segregated surface layers, or particulates. The contaminant may originate from:(i) natural reaction with the ambient (oxides, sulfides), (ii) adsorption from the ambient (hydrocarbons, water), (iii) processing steps (oils, fingerprints), (iv) handling and storage (polymers, oils), (v) settling from the ambient (particulates), (vi) electrostatic attraction in the ambient (particulates), (vii) outgassing or outdiffusion from the bulk (plasticizers, water, solvents -plastics) or (viii) contact with contaminated surfaces (silicone oils have a very high creep rate). Some of this recontamination is unavoidable but some is avoidable with proper fabrication, handling, and storage techniques.

Surface Preparation

111

Many contaminants can be predicted by knowing something about the material in general and the way that it is normally fabricated and handled. Examples are: (i) plastics absorb water and solvents easily, (ii) metals are machined and deformed using oil lubricants, (iii) plastics are molded using plasticizers to make the material fluid, etc. The presence of contaminants can be detected without necessarily identifying the composition of the contaminant. For instance, if a glass surface is contaminated with a hydrocarbon (hydrophobic), the wetting angle of a fluid drop will be high (doesn’t wet).[5] However, this type of test must be used with caution since soap residue (hydrophilic) on the surface will make the surface wettable like a clean surface. Adsorption of a tracer such as a radioactive material may also be used to detect the presence of many contaminants. Particulates originate from a variety of sources including: (i) wear mechanisms,(ii) vaporization,(iii) vapor phase nucleation,(iv) evaporation of aerosols, and (v) shedding of particles (skin, paper, cloth etc.). Particulates adhere to the surface by weak chemical bonds (van der Waals),[6] but for small particles, the most important adhering mechanism is condensation of water in the “crack” between the particle and the surface. The evaporation of aerosols and vapor phase nucleation are the most important sources of ultrafine particles (10 -100 nm). Surface adsorption can be very dependent on the surface and the adsorbing species. For instance, most oxide surfaces do not adsorb O2 while conducting and semiconducting surfaces do so easily.[7] 2.1 Recontamination Recontamination of surfaces that have been cleaned is a major concern. The recontamination rate and amount is a function of time, temperature, and environment. For example, the oxidation of reactive materials begins immediately on exposure to oxygen. On materials such as aluminum and silicon, 10 Å of oxide will re-form within seconds then slowly increase in thickness. Recontamination can also occur by adsorption of vapors from the environment. Figure 3.1 shows the recontamination rate of cleaned gold surfaces in various environments, as determined by coefficient of adhesion measurements.[8][9] The contaminants are assumed to be condensed hydrocarbon vapors. Note that recontamination begins immediately. Recontamination can come from a number of other sources such as poor environmental control, poor handling and storage, contamination by subsequent processing, etc.

112

Deposition Technologies for Films and Coatings

Figure 3.1. Recontamination of clean gold surfaces in various environments as measured by an Au-Au adhesion tester.[8][9]

Recontamination can also occur in the cleaning process itself. Complete rinsing is necessary; otherwise residues from the processing chemicals will recontaminate the surface. For example, in the final rinse, if the part is submerged in the rinse tank then drawn up through the liquid surface on which particles have accumulated, the particles will be painted on the surface and must be removed before they are allowed to dry. During storage and handling, the type and degree of recontamination is dependent on: (i) time, (ii) temperature, (iii) environment and, (iv) surface condition. Many contaminants “harden” with time and become more difficult to remove, so after exposing the surface to a contaminating process or environment it is best to clean the surface as soon as possible. Recontamination can occur in the processing system and during the processing. Reactive gas contamination (such as oxygen or water vapor) may come from residual gases, gases desorbed from surfaces, real leaks and virtual leaks. Heating and plasma-surface interactions enhance gas

Surface Preparation

113

desorption from surfaces. Outgassing from virtual leaks is time/temperature dependent and processing should be designed to allow for desorption from these sources. Often, in plasma processes, gas throughput is decreased and contaminants may build up in the system, and proper gas throughput or cleanflush-pump cycles should be employed to reduce contaminant levels. Examples of processing recontamination include: (i) plasma desorption and activation of contaminants in plasma processing, (ii) outgassing of thermal vaporization source material, (iii) particulate generation in the deposition system, (iv) particulate deposition due to turbulence in a vacuum pumping system, etc. Vacuum and plasma deposition systems may have their contaminant gas levels lowered by using the proper construction materials and techniques, and conditioning their internal surfaces. Conditioning may be done by: (a) Heating (bake-out, thermal desorption)[10] (b) Oxidizing techniques (UV/O3), [11][12] (NO at 200°C)[13] (c) Pump/plasma-discharge/pump to desorb wall species (ion scrubbing: chamber is a grounded anode of the discharge) using an inert gas, oxygen[14] or hydrogen plasma (d) Physical or chemical sputtering of the walls using an inert or reactive plasma species such as hydrogen[15] or compounds containing chlorine or fluorine (chamber is cathodic to the plasma) Recontamination is controlled by controlling the processing and storage environments.

3.0 ENVIRONMENT CONTROL A key aspect of surface preparation is the control of the processing environment to avoid contamination during processing and in subsequent handling, storage, and processing. Environmental factors include:(i) particulates,(ii) ambient gases,(iii) processing gases,(iv) condensable vapors, (v) fluids, and (vi) contacting solids. Particulates come in all sizes. Metal smokes, aerosols (for example sneezes and sea spray), viruses and tobacco smoke provide some of the smallest particle sizes.

114

Deposition Technologies for Films and Coatings Particulate contamination may be minimized by: (a) Minimizing dust and particulate generating activities and materials (e.g., clothing/skin/cosmetics, soldering, aerosols) (b) Low velocity air currents, little turbulence (c) Elimination of electrostatic charging of insulator surfaces (d) Air filtration—“clean” rooms and stations

Particulates on smooth or patterned surfaces (semiconductor) can be detected by operators using optical microscopes (slow and costly), or by using a scanning laser microscope which detects scattered light. Ultraviolet fluorescence can be used to detect some types of particles. Commercial surface particulate detection systems are available. Airborne particulate contamination may be effectively controlled by filtration of air (90 - 100 ft/min), through directional(laminar flow) dry fiber filters (HEPA—High Efficiency Particle Air). HEPA filters can be made from a variety of materials, and filters compatible with the environment should be used. For instance, it has been reported that salt particles on some filter fiber materials absorb water and degrade the filter to the point that the filter produces particulates. Filters allow the fabrication of clean rooms, clean benches, etc.,[16] and must be utilized with care in order to maintain a low particle count.[17] It should be noted that air filtration doesnot remove vapor contamination. In the United States, GSA- Federal Standards 209b utilize the number of particles per cubic foot of volume with a size greater than 0.5 microns as the standard (no particles larger than 5 microns). Air filtration with proper flow patterns can provide a Class 100 or better environment (100 particles per ft3 ). In 1986, a Class 100 clean room cost an estimated $400 - 500 US per ft2 (some say $1000) to construct and $30 US per ft2 per day to operate. Continuous care, maintenance and personnel training are necessary for a properly functioning clean room! Airborne particles larger than 0.5 microns are typically counted by light scattering. Below 0.5 microns the particles are counted by first condensing a vapor on the surface (like a contrail from a jet) and then using light scattering, or by electrostatically charging the particle then counting it, or a combination of the two methods. Particles may be selectively attracted to charged surfaces. It is therefore important to prevent electrostatic charging of critical surfaces. When blowing with an air nozzle, the air should be ionized to prevent

Surface Preparation

115

electrostatic charge buildup. Permanently charged surfaces (electrets) can be used to preferentially attract particulates. (Note: electret materials have a permanent surface charge. They are mainly plastics that have been heated and stretched in a DC electric field. Electret materials may be used in brushes, filters, or as surfaces.) Humans, their clothing, and behavior are a major source of contamination. In clean rooms, particulate generation is minimized by using special body covering and other techniques. Ultimately robots may be used to eliminate one of the major sources of particles—man. In order to attain Class 1 and 10 environments and to control particles smaller than 0.5 microns, it is proposed that substrate handling and processing will have to be done in small compartmentalized units where the substrates will not be exposed to the ambient environment. An example of such a system is the completely-contained processing for metallizing and assembling quartz crystal oscillators, where vapor and particulate contamination are eliminated to prevent frequency shift due to contamination of the crystal surface during use. In the future, more use is expected to be made of containers and processing equipment that can be mechanically mated so as to only need small volumes of Class 1 environments. Clean rooms may be less important in the future! Particulate contamination from processing gas supplies may be controlled by filtration. Filtration at the point-of-use is often done with 0.2 micron filters. Teflon filters should be used in oxygen lines. Particulate contamination in flowing gases may be monitored by the scattering of a laser beam.[18] Particulates generated in gas piping may be due to:(a) flaking from walls, oxides, fluxes, polymers, (b) wear particles from mechanical equipment, (c) contamination from opening system, (d) leaks; and affected by: (i) wear (valves, pumps),(ii) mechanical vibration, (iii) thermal cycling, and(iv) changes in flow velocity. Contamination of gas supplies by unwanted reactive gases can be a problem. In order to prevent gas contamination, one can: (i) use ultrapure gases from tanks,(ii) use vapors from liquid gases (LN 2), (iii) purify the gases, and (iv) be careful to have non-contaminating plumbing. Gas purification can be used to remove some gaseous contaminants from gas supplies. Purifiers use hot reactive beds (chips) (Ti, U, Cu) for removal of oxygen, or diffusion— Pd for H2 , Ag for O2. Commercial purifiers will purify silane, ammonia, hydrogen and the inert gases to less than 10 ppb of O2, H2O, CO2 , and chlorinated compounds.

116

Deposition Technologies for Films and Coatings

Particulate contamination from fluids can be avoided by filtration.[19] Care should be taken that the filter does not contaminate the fluid by extracting (leaching) material from the filter (use Teflon™ or ceramic filter material). Particulate contamination in fluids can be measured directly by light scattering. Overflow tanks should be used in rinsing operations. Particulates from the air tend to float on the surface of fluids (like water spiders) and paint on the substrate surface as it is withdrawn through the fluid surface giving extensive particulate recontamination of a cleaned substrate surface. One ambient “contaminant” that should be controlled is electrostatic charging. This is done by controlling the humidity (typically 40 - 45% relative humidity) and using ground straps, antistatic coatings, and conductive clothing on personnel who handle sensitive electronic devices. Electrostatic charging of insulator surfaces contributes to particulate contamination by attracting and holding particles. Electrostatic charging of surfaces can result from blow-off with dry air. The dry air should be ionized before being used for the blow-off operation. The humidity in a clean room is normally controlled by dehumidifying using cold surfaces (air conditioning, or air compression which is more costly) then re-humidifying using steam or “foggers”. It has been proposed that the humidifying operation is a major source of fine particulate contamination in the clean room environment since the evaporation of aerosols is a major source of fine airborne particulates. Condensable vapor contamination is generally not controlled in the processing environment except by venting and segregation of vapor producing processes (soldering, electroplating, etc.) from “clean” areas. Hydrocarbon vapors are the most common vapor contaminants and are controlled in the small volumes used for handling and storage by selective absorption (freshly oxidized aluminum), or by continuous oxidation in a ultraviolet/ozone atmosphere (UV/O3—see cleaning section), or by condensation on cold surfaces. Contaminant pick-up from surfaces is controlled by (control of) surface materials, good housekeeping, smooth surfaces, use of coverings (finger cots, lint-free cloth), high molecular weight organics (nylon and Teflon™) or metal for holders and tools, and the use of vacuum tools for handling wherever possible. Vacuum tooling for holding is preferable to other types of handling tools since it minimizes abrasive transfer of material. Special low-contaminant materials have been developed for semiconductor processing applications; unplasticized polyethylene seems to be

Surface Preparation

117

best,and gloves of this material—furnished on paper rolls—is the recommended handling material. However abrasive transfer of organic materials from soft plastics can be a problem. Woven nylon gloves prevent direct contact between skin and surfaces but do allow sweat and body oils to wick through; rubber finger cots should be worn under the nylon gloves. Alcohol, acetone, and many other solvents which are used in cleaning processes will leach organics from vinyl gloves. When using these solvents, unplasticized polyethylene gloves should be used. Some vinyl (and about all latex) gloves may have powder on them and, of course, this is a source of particulates. Processing chemicals may be contaminated when received so ultrapure chemicals (semiconductor grade) should be used. Improperly rinsed surfaces which have impure chemicals on them (solvents, etchants) may leave residues on drying. A chemical may become contaminated by being in contact with a material which it dissolves or attacks: alcohol in contact with many plastics— vinyl (use polyethylene - no plasticizers); Tygon™ removes phthalate plasticizers (use Teflon™). Hydroscopic materials such as anhydrous chemicals (alcohols) will pick up moisture from the atmosphere on exposure. Chlorinated solvents may react with water vapor and become contaminated with HCl, thus becoming corrosive. If impure fluids are allowed to dry on a surface, they leave residues. These residues are then very difficult to remove. Residue analysis consists of allowing a volume of the chemical to evaporate and analyzing the residue which remains (ASTM Method D1353-78), or analyzing the particulate residue from a sprayed droplet (Wen). Often residue can be detected by the “fogging” of what should be a clean glass surface on evaporation of some of the solution. Residues can be minimized by rinsing in copious amounts of ultrapure water or other appropriate solvent. Wet surfaces should not be allowed to dry without rinsing with a low residue solution! Chemicals can be contaminated by “carry-over” from a previous process. Carry-over can be minimized by good rinsing between cleaning/processing steps. Metallic contaminates in electrolytes may result in surface contamination by displacement plating from solution (Zn and Sn)—don’t use galvanized parts or soldered plumbing for transferring ultrapure chemicals such as water. Sodium contamination is of major concern in silicon technology. Sodium can come from leaching of soft glass, and fingerprints, as well as chemicals, furnace liners, etc.

118

Deposition Technologies for Films and Coatings

The most common rinsing technique is to use successive rinses (cascading rinses) in ultrapure water until the rinse water has a high resistivity (> 15 megohm). This is called “rinse to resistivity”. Ultrapure water (18 megohm - cm resistivity) is a widely used chemical for cleaning and rinsing since it leaves a minimum of residues. Water purity is typically measured using a conductivity cell that measures the ionic concentration in the water. The semiconductor industry standards call for detection of ionic impurities to 5 ppb NaCl equivalent. Specific ion content may be measured using ion chromatography. Conductivity measurements do not measure the organic or biological contamination and some type of residue analysis should be used to measure these impurities. Typical industrial specifications of ultrapure water for endpoint use are: 1. Resistivity—18 megohm continuous at 25°C 2. Particle count—less than 500 particles (0.5 microns or larger) per liter 3. Bacteria count—less than one colony (cultured) per cc 4. Organics—less than one part per million 5. Total electrolytes—less than 5 parts per billion 6. Quantity requirements 7. Peak-level usage The ultrapure water is made by: 1. Pretreatments—pH adjustment, coagulation, filtration 2. Reverse osmosis—semipermeable membrane (pore size 10-3 to-4 microns) rejects salts, dissolved solids (90 - 98%) and organics (99%)—400 to 600 psi feedwater[20] 3. Degasification—remove dissolved CO2 4. Ion exchange resins (anion & cation)—remove ions by exchanging H+ for cations and OH- for anions. 5. Absorption materials (activated carbon)—remove organics 6. Filtration—remove particulates and biological matter, 0.2 microns for bacterial, 1.0 microns general 7. Ultraviolet radiation—kills bacteria on filters 8. Endpoint filtration PVC plumbing should be used with ultrapure water since the pure water is rather corrosive to metals (particularly to Cu, Zn). A high volume, ultrapure water facility can be very large and expensive.

Surface Preparation

119

Bacteriological contamination can penetrate porous filters and has been correlated to reduced device yields. Ultraviolet radiation or dissolved ozone may be used to kill the bacteriological contaminate agents (Nebel). End-point filtration is often used to make sure that bacteriological contamination does not get on a part and leave a residue. Activated carbon is an amorphous material with a high surface area (5001500 M2/gram). For use in fluids it has a pore size of about 1000 Å. For use in gases it has a pore size of 12 - 200 Å. Activated carbon has a high affinity for the absorption of organic molecules (better for non-polar than polar). Catalytic agents (Cu, Ag, Cr) can be added to improve the absorption of complex molecules (e.g., gas masks). Activated carbon filters do not remove biological agents effectively. An important part of the rinsing operation is the drying of the surface to prevent particle pickup and adherence—see Sec. 5.0 on drying and outgassing.

4.0 CLEANING PROCESSES “Cleaning” is the reduction of surface contamination to an acceptable level. As a practical matter, a “clean” surface is one that contains no significant amounts of undesirable material; thus what constitutes a clean surface (degree of cleaning) depends on the requirements. The requirements range from those concerned with monolayer coverages and atomically clean surfaces to crude cleaning such as used for fusion welding. The economics are such that unnecessary cleaning is to be avoided. Cleaning processes should be as simple and effective as possible in order to meet the requirements of the processing. Elaborate cleaning processes are often expensive and self-defeating. Often there is a tradeoff between the various stages of the cleaning process, handling/storage, and subsequent processing, such that simple changes in one stage make complex changes in another step unnecessary. Effective cleaning generally consists of two or three stages. The first is removal of gross contamination by fluxes, etchants, or abrasion. In the second stage, the cleaning steps are designed to remove specific types of contaminates such as particulates and organics, by solvents, saponifiers, emulsifiers, oxidation techniques, etc. Cleaning solutions may have several actions to attack specific contamination, such as detergents, solvents, wetting agents and mild etchants. Next, the surface is rinsed, dried and outgassed, (if necessary). Lastly, a final or insitu cleaning step may be used

120

Deposition Technologies for Films and Coatings

in a very controlled environment such as in a vacuum or plasma deposition chamber or electrochemical solution. 4.1 Particulate Removal Particulate contamination may be removed by detergent washing, liquid spray (high pressure), blow-off, brush-off (in liquid or air), flow-off (liquid or condensing vapor), or spin-off (copious fluids) techniques. The most effective techniques seem to be detergents (with wetting agents) and mechanical rubbing in a fluid. High pressure spray, brush-off under liquid, and flow-off using condensing vapor are less effective. When using any mechanical rubbing technique, care should be taken to prevent contamination by abrasive transfer from the rubbing media. Use gentle pressures. Blow-off techniques have the advantage that they can be done after the substrates have been placed in fixtures and even in a deposition system. The best means of blow-off is to use filtered (0.2 micron) gas from a liquid nitrogen tank. The gas is filtered in the nozzle and some nozzles allow ionization of the gas with a radioactive source. Ionized gas should be used when blowingoff insulator/organic surfaces to prevent electrostatic charge buildup which will attract particles. When using high velocity gases for blow off one should be careful not to entrain particles in the gas stream which could impinge on the surface and stick. An interesting technique studied at the University of Arizona Center for Microcontamination Control is the use of high purity carbon dioxide “snow” formed and blown from a gaseous carbon dioxide cylinder. Apparently the snow scrubs the particles from the surface without leaving residuals or harming the surface. Blow-off of particulates is often done with dusters using canned pressurized gases. One common duster uses dichlorodifluoromethane (DuPont Freon™ 12—CCl2F2, BP: 30°C) which liquifies under pressure. Residuals from the blow-off gases should be checked. Also check for residuals with the spray can in an inverted position (liquid comes out) while spraying. Caution: when using Freon™ dusters, make sure the gas canister is not intended for recharging air conditioning systems—these canisters contain oil lubricants which spray out, particularly when the can is inverted. In optics, it is common to remove particulates from optical surfaces by applying a film that is stripped from the surface—leaving hydrocarbon contamination, no doubt.

Surface Preparation

121

4.2 Abrasive Cleaning The removal of gross contamination by abrasive cleaning involves the use of abrasive pads (sandpaper, emery paper, etc.), impacting particles (glass beads, alumina/silica grit) in air or fluid streams (vapor honing), or mechanical rubbing of particles in a fluid suspension. Grit blasting uses grit (fractured cast iron, alumina) of varying sizes and shapes, accelerated in a gas stream, to deform and gouge the surface. In addition to removing gross contamination, grit blasting roughens and “activates” the surface, and the surface should be coated as soon as possible after grit blasting (less than 2 hours). The Society of Automotive Engineers (SAE) has specifications on grit size and type. Particle bombardment places the surface in compressive stress and may give unacceptable distortion of the part. Glass bead blasting (dry) is a commonly used cleaning technique[21] but may leave shards of glass embedded in soft surfaces. Particles may be entrained in a high velocity gas steam by using a siphon system or a pressure system (sand blasting equipment). Water soluble particles may be used for abrasive cleaning (example: the Prophy-jet™ dental abrasive unit uses 5 micron baking-soda–magnesium-carbonate particles) and allows easy removal of embedded particles. Bead blasting in a fluid (honing) is also used to clean surfaces of gross contamination. 4.3 Etch Cleaning Chemical etching may be used to remove some of the surface material along with the contaminants. This is a very useful technique for getting the surface into a “known” condition, removing surface layers (oxides), and removing difficult-to-remove contaminates. Etchants may change the surface chemistry! Common etchants for glass are sodium or ammonium bifluoride and hydrofluoric acid. Note: when using HF extreme care should be taken to prevent the HF from getting on the skin—bad chemical burns can result. (First aid: flush with water then use magnesium sulfate to neutralize. A commercial magnesium-sulfate/glycerin creme is available as Acid-Aid™.) Acid “pickling” is a common technique for cleaning metal surfaces. [22][23] Acid cleaning of metals may have the detrimental effect of introducing hydrogen into the surface and embrittling the metal. If hydrogen embrittlement is a problem, either don’t use an acid (best) or give the etched part a high temperature vacuum fire after etching. When using etchants for cleaning, care must be taken to prevent selective removal (leaching) of

122

Deposition Technologies for Films and Coatings

surface constituents that are important to further processing (e.g., etching glass bonded Al2O3 in HF results in selective removal of the glass [Ca-Mg-AlSi-O] which can weaken the surface and give problems with adhesion).[24] Sometimes chemical etching does not remove some constituents from a surface and leaves a “smut” that must be removed by another etching step. For example, etching aluminum alloys with NaOH leaves a copper smutand/ or a silicon smut. These may be removed with HNO3 or HNO3/HF respectively. In some cases an etchant can be devised that will etch all the constituents uniformly; for instance, in etching aluminum containing silicon (1%) IC metallization, concentrated nitric acid plus ammonium bifluoride (100 cc:6.8 gr) may be used. The etching mechanism is oxidation of the aluminum and the silicon, then etching of the resulting oxides—the etchant actually etches silicon more rapidly than the aluminum. 4.4 Fluxing Fluxes remove oxides by dissolving them or by undercutting and floating the surface layers away.[25][26] 4.5 Alkaline Cleaners Alkaline cleaners are saponifiers which convert organic fats to watersoluble soaps. Saponifiers are alkaline and are often in the form of hot solutions. Strong alkaline cleaners have a pH of about 11. When using alkaline cleaners, the surface should be neutralized by an acid prior to the water rinse since alkali salts adhere strongly to surfaces. Clean oxide surfaces strongly adsorb hydrocarbons which detergents and solvents normally will not completely remove. These hydrocarbons must be removed by alkaline cleaners or oxidants. 4.6 Detergent Cleaning In detergent cleaning, the detergent (soap) surrounds the particle taking it into suspension without actually dissolving the material. This action is helped by wetting agents which loosen the particles. Many detergents contain phosphates. Liquid dishwasher soap is an excellent detergent for many applications (also laboratory green soap). Alconox™ is also a widely used laboratory cleaning solution though it is somewhat difficult to remove

Surface Preparation

123

from the surface and changes the surface pH. Ajax™ cleaner contains abrasives and care must be taken to eliminate large chunks which can scratch surfaces. A major problem with soaps is that metal ions such as the calcium and magnesium which are found in hard water (high content of ionic material) make the soaps insoluble and leave a residue. Therefore de-ionized water should be used for detergent cleaning. There is a tendency for people to use too much soap in a solution giving problems with rinsing and residues, particularly if the solution is used cold. About 1 tablespoon of detergent per gallon of water is generally sufficient. The author has been told that a slurry of carbon black (from burning acetylene) in de-ionized water mechanically abraded on a glass surface is very effective in removing absorbed organic contaminants—I have no first hand experience with this technique. 4.7 Chelating Agents Chelating agents keep the normally insoluble phosphates that are formed in hard water detergent cleaning in solution. Glass cleaning solutions often use chelating agents such as ethylene diamine tetra-acetic acid (EDTA). 4.8 Solvent Cleaning Hydrocarbon contaminants may be removed from surfaces by solvents which dissolve the contaminants. Solvents may vary greatly as to their ability to dissolve(solvate) contaminants, and their effectiveness needs to be known by determining the “solubility parameter” for specific contaminants (if contaminate is known).[27] Polar solvents such as water are used to dissolve polar contaminates (ionic material) while non-polar solvents such as the chlorinated hydrocarbon solvents, are used to remove non-polar contaminates (grease, rosin solder flux, etc.). Often a mixture of solvents is used to solvate both polar and nonpolar contaminates. Chlorinated hydrocarbon solvents are often preferred to hydrocarbon or petroleum based solvents because of their low flammability (flashpoint), though there is concern with the toxicity and carcinogenic properties of some of these materials. Chlorinated solvents may react with water to form acids. The acids react with metals causing corrosion. Often stabilizers are added to the chlorinated solvents to reduce their tendency to react with water (hydrolyze)

124

Deposition Technologies for Films and Coatings

and form acids. Examples of such stabilizers in trichloroethylene (TCE) are: (i) 1,2 butylene oxide,(ii) cyclohexene oxide,(iii) para-tert-butyl phenol and(iv) 1-propanol. If stabilizers are not used, then the pH of the cleaner should be monitored to keep a pH of 6 - 7 (IPC Test Method No. 2.2.30; ASTM-D-2989 “Acidity/Alkalinity of Halogenated Organic Solvents”). If there is a possibility of solvent trapping which prevents complete rinsing, particularly in a stressed metal joints, chlorinated solvents should not be used since residues will enhance stress corrosion in those areas. Cleaners containing chlorine-based oxidants may present the same problem. Chlorinated halogen solvents are coming under increasing scrutiny as to their toxicity. Stringent exposure levels are being imposed by OSHA/EPA and it is anticipated that they will get even more stringent. Solvent properties to be considered include: 1. Suitability for application technique (spray, vapor degrease, recycling, etc.). 2. Selective solvency (solubility parameter)—ability to solvate the contaminants of interest. 3. Wetting characteristics—depends on viscosity and surface tension. Allows the solvent to wet surfaces and displace soils 17.2 to 21.4 dynes/cm3 for Freon™ solvents. 4. Miscibility with other solvents (to generate solvents for particular applications)—azeotropes = constant boiling point mixture of two or more components, i.e., composition of vapor is the same as the liquid. 5. Safety and environmental concerns—flammability, toxicity (breathing, contact) carcinogenicity, effect on the ozone layer, OSHA and EPA regulations present and future. 6. Stability—thermal and chemical, nonreactive with parts to be cleaned (chlorocarbon and alcohol solvents may react with Al, Mg, Be, Zn [white metals] to form inorganic salts which give residues etc). Photochemical stability. Solvents may leach materials from some container and piping materials. 7. Low energy requirements—low boiling points to give vapors without high energy requirements (vapor degreasers), parts may be handled immediately after cleaning. 8. High density—solvents displace soils and float them to the surface of the cleaning system (e.g., 9.6 to 13 lb/gal for Freon™ solvents)(ASTM-D- 2111 “Specific Gravity of Halogenated Organic Solvents and their Admixtures”).

Surface Preparation

125

Typical solvent systems are: 1. DuPont Freon™ TF (trichlorotrifluoroethane) 2. Azeotrope mixture of TF with methylene chloride (50%) = Freon™ TMC (metal degreasing) 3. TF with ethanol (4%) and nitromethane (1%) = Freon™ TES (rosin fluxes and ionic contaminates from solvent sensitive assemblies) 4. TF with ethanol (4%) = Freon™ TE (defluxing) 5. TF with acetone (11%) = Freon™ TA (broad range of solvency) 6. Blends of TF with methanol (6%) and nitromethane (0.25%) = Freon™ TMS (deflux) 7. TF with anhydrous isopropanol (35%) + stabilizer = Freon™ T-P 35 (cold cleaning ) 8. TF with ethanol (35%) = T-E 35 (organic and polar solvents). This data is taken from DuPont solvent formulation data bulletin no. FST5. Other equivalent solvents and solvent blends are available. Caution: Freon™ with water (or alcohol which takes up water) will corrode aluminum, zinc, and cadmium (white metals) if left in contact for a period of time; aluminum will take fluorine from the molecule. Aluminum parts should be dried immediately, preferably by vacuum bake, but at least hot-air-dried to minimize corrosion. There is also a safety concern: extended breathing of halogenated solvents can cause liver damage (like glue sniffing). These solvents must be used in a well ventilated area such as a chemical hood. Elevated temperatures are often used to increase detergent, solvation, and etching activities. This is often done using immersion heaters (materials must be compatible) or externally heated tanks. Abrasives may also be used in conjunction with solvents to loosen contaminants from the surface. Application methods of solvent and fluid type cleaning techniques include: (i) soaking,(ii) mechanical scrubbing,(iii) mechanical agitation,(iv) spraying (low and high pressure), (v) vapor condensation (vapor degreasing), (vi) hydrosonic agitation 2 Hz - 20 kHz),(vii) ultrasonic (20 - 60 kHz) agitation (cavitation) and(viii) megasonic agitation (850 - 900 kHz) (pressure wave). In mechanical scrubbing, lint-free, de-sized cloths make good toweling (sizing can be removed by multiple washings). For brushes, there is a variety of materials including: camel hair, mohair, polypropylene, Teflon™ and nylon. In semiconductor technology, mechanical scrubbing combined

126

Deposition Technologies for Films and Coatings

with high pressure fluid jets (2000 - 3000 psi) is a standard cleaning procedures. Spraying may be performed at low pressure (50 psi) or at high pressure (1000 psi). Spray systems often use copious amounts of material so the liquid is usually recycled. This means that after the fluid becomes contaminated above a certain level it must be replaced. With increasing concern about solvent vapors, many of the newer systems are self-contained with condensers to trap the vapors and allow them to be recycled. Some systems allow the purification of the solvents by distillation. Vapor degreasers operate by putting a cold part in hot vapor above a vapor degreaser “sump”. The solvent condenses on the surface and flows off into the sump. Cleaning action only occurs during the condensation process, and when the part reaches a temperature where the solvent doesn’t condense, cleaning stops and the part should be removed. Parts should never be immersed in the sump fluid. Fluid in the sump should be changed when it becomes contaminated. Figure 3.2 shows a schematic of a typical old-style industrial degreaser for cleaning large parts either by spraying or by vapor degreasing. This type of system allows the escape of vapors and is becoming increasingly undesirable.

Figure 3.2. Industrial vapor degreaser with spray wand.

Surface Preparation

127

Ultrasonic cleaning[28] relies on the jetting action of collapsing cavitation bubbles to give a high pressure jet of fluid against a surface. The cavitation bubbles are formed by the tension wave portion of an ultrasonic wave in a fluid media. The ultrasonic wave is produced by a transducer typically operating at 20 - 40 kHz at about 100 watts/gal of fluid. The cavitation nature (size of bubbles) of the fluid depends on its vapor pressure and temperature (e.g., 3 microns for water at 60°C at 40 kHz). The jet pressure may be as high as 300 psi. The colder the media, the more energetic is the cavitation jetting. The bubbles nucleate in the fluid or on a surface. With a fixed frequency transducer, nodes and antinodes are formed (standing waves) which give variations of cavitation in the fluid. In order to overcome this effect, swept frequency generation is used with one system at 40 kHz ± 2 kHz. (Frequency modulation at full amplitude is best for sweeping frequency). If frequency sweeping is not used, the parts should be moved from one region to another in the tank. Variables in ultrasonic cleaning include: Nature of the transducer fluid (density, vapor pressure) Temperature of fluid Gas content of the fluid (function of degassing of fluid and entrainment with parts) Energy of cavitation implosion (temperature, pulse height of ultrasonic wave) Average cavitation density (volume or surface) with time Average cavitation density with position in tank Shape of the ultrasonic pulse Nature of ultrasonic cycle train (“quiet time”, “degas time”, cycles per train) Ultrasonic cleaning has to be used with care since the jetting action caused by the collapsing gas bubbles on the surface can cause erosion and introduce fractures in the surface of brittle materials, leading to poor adhesion. For example: in high power laser applications it has been shown that improper ultrasonic cleaning increases the light scattering from the surface, indicating surface damage or possibly surface roughening. Also ultrasonic agitation has been shown to create particles by erosion of the container surface, with stainless steel giving 500 times as many particles as Pyrex™ glass. In all cases studied, particles of the container were produced. Resonance effects may also damage some parts in an ultrasonic

128

Deposition Technologies for Films and Coatings

cleaner.[29] Surface damage can be controlled by adjusting the energy density of the jets or controlling the time of application. The ultrasonic cavitation may be generated by magnetostrictive or electrostrictive transducers. The power may be from 500 watts for a small model (5 gallon) on up to very high powers. Ultrasonic erosion of aluminum foil (or an aluminum metallized glass surface) may be used as an indication of the cavitation power to which a surface is exposed in the ultrasonic solution.[30] A general rule is that ultrasonic cavitation will generate 10 holes in a 1 X 2 inch aluminum foil of 2 mils thickness in 10 sec. The cavitation ability is dependent on how well the energy is coupled to the fluid. Fixturing is very important in ultrasonic cleaning to insure that all surfaces are cleaned. Parts should be held parallel to the stress wave propagation direction. Energy absorbing containers, such as polyethylene or TeflonTM beakers and fixtures, should not be used since they absorb the ultrasonic energy. Hydrosonic cleaning utilizes hydrodynamic rather than electric generation of the fluid pressure waves.[31][32] The megasonic agitation system is applicable to smooth surfaces, particularly for removing particles, but doesn’t work on configured surfaces since the pressure wave is easily shadowed. 4.9 Oxidation Cleaning Oxidation cleaning relies on the formation of volatile or soluble oxidation products. If non-soluble products result from oxidation (e.g., silicone to silica) then a residue may be left on the surface. Oxidation cleaning may be used for surfaces that are normally oxides (glass, ceramics, metals that form coherent oxides) or that don’t oxidize (gold). High temperature oxygen or air fire is an excellent way to clean surface that can withstand high temperatures. For instance, to clean Al2O3, air fire the material to 1000°C then remove it while still warm (to prevent moisture condensation) and place in container. In thermal oxidation, the type of contaminate may be determined by monitoring the selective oxidation products as a function of temperature. Oxygen (or air) plasmas are very effective in removing hydrocarbons and absorbed water vapor from surfaces.[33] However the oxygen plasma may oxidize materials, which may be undesirable. Where oxidation is a problem, hydrogen plasmas may be used to remove hydrocarbons and adsorbed water from surfaces.

Surface Preparation

129

The use of oxidation by ultraviolet radiation, which generates ozone and causes bond scission of the hydrocarbon contaminates (UV/O3 cleaning) has greatly simplified the production, storage and maintenance of hydrocarbonfree surfaces.[11][12] UV/O3 exposure also allows the controlled thin-layer oxidation of surfaces such as silicon and silicon-germanium alloys. In a typical UV/O3 cleaning/storage chamber, the UV is provided by a mercury vapor lamp in a quartz envelope so that both the 1849 Å and the 2537 Å radiation is transmitted. The radiation intensity is 1 - 10 milliwatts/cm2 at the substrate surface. The chamber is of aluminum with no organic seals, and in a correctly operating system, ozone can be smelled when the chamber is opened (10 ppm ozone). The temperature in the chamber is typically 150°F during the cleaning operation. A heater may be used to decrease the possibility of moisture condensation when the chamber is open. Typical exposure times for cleaning are from a few minutes to remove a few monolayers of hydrocarbon contamination to hours, days, or weeks for storage of cleaned surfaces. The UV/O3 cleaning technique is also useful for cleaning holes (vias) in surfaces.[34] Caution: when there are corrosive agents (or materials that can decompose into corrosive agents, e.g., Freon™) in the atmosphere, we have found that the UV/O3 greatly enhances the corrosion rate. For instance, a little chlorine in the atmosphere causes stainless steel to rapidly corrode. Hot (115°F) concentrated sulfuric acid plus ammonium persulfate is an excellent oxidizing cleaner. The addition of the ammonium persulfate (solid) to the hot sulfuric forms an unstable compound that decomposes releasing ozone. The ammonium persulfate should be added just prior to the immersion of the substrate into the solution. This treatment is sometimes followed by a brief dip in a 10:1 solution of water and HF or immersion for 20 minutes in a solution of hydrogen peroxide and ammonium hydroxide. H2 O : H2O2 (30%) : NH4 OH (29%) at 80°C A hot chromic-sulfuric acid cleaning solution prepared from potassium dichromate and sulfuric acid provides free oxygen for cleaning but has a tendency to leave residues unless rinsed very well. K2 Cr2O7 + 4H2SO4 → K2SO4 + Cr2(SO4)3 + H2O + 3O Boiling hydrogen peroxide (30%) is a good oxidizing solution. Unstabilized H2 O2 must be used, and it should be stored in a refrigerator to slow

130

Deposition Technologies for Films and Coatings

decomposition. Hydrogen peroxide is sometimes used with ammonia with a ratio of 8 (H2O2) : 1 (NH3) : 1 (H2O). Caution: 30% H2O2 is extremely reactive so it must not contact oxidizable materials such as organics. Oxidation cleaning may be performed using chlorine-containing chemicals. For example, a slurry of sodium dichloroisocyanurate (pool chlorine— 63% available chlorine) in water may be used to scrub an oxide surface to remove hydrocarbon contamination. 4.10 Volatilization Cleaning Heating volatilizes some surface contaminates such as water. This technique can often give problems because it may pyrolyze hydrocarbons into carbonaceous forms which are then very difficult to dissolve. The temperature may also cause changes in the surface composition and morphology. The surface composition may change due to volatilization of a constituent or by segregation of a bulk constituent to the surface. Thermally driven surface segregation can be greatly influenced by the nature of the environment (vacuum or reactive gas). Ga from GaAs surfaces may be thermally etched to give improved electronic properties at the resulting film-substrate interface.[35] In the case of some glasses, high temperatures tend to cause particles of oxidized glass constituents to form on the surface. Thermal treatment of silicon to >700°C removes the oxide but the surface begins to vaporize and form surface features.[36] Thermal cleaning is used to clean porous surfaces by increasing the surface diffusion of the contaminate from the subsurface regions to the surface where it can be removed. 4.11 Hydrogen Reduction Cleaning Hydrogen reduction of oxide layers may be used to clean surfaces in a furnace environment. Figure 3.3 shows the stability of a number of metal oxides at various temperatures and varying dew points of the hydrogen. Note that, depending on the dew point and the temperature, a hydrogen furnace can be either reducing or oxidizing! In some cases forming gas (90% N2 , 10% H2) is used instead of hydrogen since it is less explosive. Hydrogen reduction has been used to clean the oxide from silicon surfaces at 900°C.

Surface Preparation

131

Figure 3.3. Metal-metal oxide equilibria diagram for hydrogen plus water as a function of temperature.

4.12 Electrolytic Cleaning Electroetching may be used to anodically remove metal from a surface (along with contamination) and usually roughens the surface. The higher the current density, the more roughening occurs. For stainless steel, the surface is passivated by oxides (hydrated on the surface) at low potentials, while at higher potentials, the surface is etched.[37] Carbon fibers often have a weak surface layer and this layer may be removed by anodically electroetching (oxidizing) the surface followed by hydrogen firing. This treatment increases the strength of the carbon fiber and improves the bond when the fiber is used as part of a composite material.

132

Deposition Technologies for Films and Coatings

Electropolishing removes material and smooths the surface.[38][39] The smoothing action is due to protection of the flat areas by a deposited material (usually a phosphate) and the preferential erosion of the peaks. Electropolishing leaves a surface film (phosphate) which has to be removed (hot water scrub) to obtain a clean surface.

5.0 DRYING AND OUTGASSING After fluid cleaning and rinsing it is important to dry the surface quickly in order to prevent the liquid film from collecting particles. Drying may be done by blowing the surface with filtered gas (from a liquid nitrogen tank) or by displacing the water by a high vapor pressure solvent such as anhydrous alcohol which dries rapidly. The best technique is an “alcohol vapor dry” where the cold surface is immersed in the vapor above a heated anhydrous alcohol sump. The cold surface condenses the alcohol vapor which flows off into the sump taking water and particulates with it. When the surface becomes hot condensation ceases and the hot part, when withdrawn, will rapidly dry. Spin drying tends to leave liquid along the outside edges of the substrate which may result in contamination of this area. If spin drying is used the part should be flooded with copious amounts of ultrapure water during spinning. Anhydrous alcohol, which displaces water and dries quickly, is one of the best materials with which to wipe and flush surfaces—it leaves the least residue; however it is not a very good solvent. Alcohol should only be used with polyethylene gloves. Isopropyl alcohol (IPA) is most commonly used since it requires no denaturant. Ethyl alcohol is generally more pure but requires the use of denaturants. Alcohol is denatured to avoid tax and accountability. Denaturants range from ethyl ether to kerosene (over 200 denaturants allowed). Low residue denaturants include methanol (5% by vol.) and acetone (10% by vol.). It is best to use pure (undenatured) alcohol if possible. Anhydrous alcohols can take up water from the atmosphere and lose their ability to displace water in the drying operation Drying and outgassing is especially important for polymers and porous materials which absorb solvents and water. It is often easier to dry and outgas prior to placing the materials in a deposition chamber. The usual technique is to heat the material (to some temperature that doesn’t degrade it) in a vacuum (vacuum bake) or desiccated environment. A common mistake is to vacuum bake the material for an insufficient time—often many

Surface Preparation

133

hours are necessary. The time-temperature-vacuum conditions necessary to outgas the material can be determined by weight loss measurements. Microwave energy may be used to heat polar molecules such as water (also alcohols, aldehydes, ketones, amides, amines, nitrate, cyanides, proteins, unsymmetrical halogenated hydrocarbons, and ionic solutions) as long as there are no electrical conductors present. Microwave heating and drying of such materials may be more effective than conventional thermal heating.[40]

6.0 MONITORING OF CLEANING The best monitoring techniques monitor those elements of the process which are critical to providing a surface that can be further processed. The testing of surface preparation such as cleaning will invariably result in contamination of the surface, so tested surfaces can not be used for subsequent processing. In some cases, sample surfaces may be tested for certain properties in order to determine surface conditions. These tests include(i) contact angle of a water drop (wetting angle),(ii) sheeting behavior of a fluid draining over a surface, (iii) nucleation of moisture on a surface and (iv) friction and adhesion tests. A common check on the cleaning of a glass surface uses the contact angle of a water drop on the surface of the cleaned glass. If the surface has no hydrophobic contamination (oil, hydrocarbons, silicones, etc.) the water will wet and spread over the surface giving a contact angle of 10 microns argon). In the cathode fall region, some of the ions may be neutralized by charge exchange processes which give rise to energetic neutral particles which are not affected by the applied electric field. The result is fluxes of

Surface Preparation

137

energetic ions and neutrals with a spectrum of energies that bombard the cathode. In order to sustain a discharge, the secondary electrons must create enough ions to compensate for losses. If the anode or ground surface is brought too close to the cathode, the discharge is extinguished. This effect can be used to confine the DC discharge to areas of the cathode surface where bombardment is desired—other areas may have the bombardment prevented by having a ground shield in closed proximity to the surface. The Paschen curve gives the relationship between breakdown voltage and the minimum anode-cathode separation in a gaseous environment. Insulator surfaces cannot be used as cathodes in a DC diode configuration since charge buildup on the surface will prevent ion bombardment. In addition to causing the ejection of secondary electrons, high energy ions and neutrals which impinge on the target (or other surfaces) cause the physical ejection of surface atoms (physical sputtering) by momentum transfer processes. The sputtered particles leave the surface at higher-thanthermal energies but may be rapidly thermalized by collisions in the gas phase. The sputtered particles may be scattered back to the target surface; this effect is more prominent the higher the gas pressure. Some of the energetic ions that bombard the cathode may be reflected as high energy neutrals. The electrical current measured in the DC cathode circuit is the sum of the charge due to the ion flux to the target and the secondary electron flux away from the surface. Therefore, the cathode current density and cathode voltage do not specify the flux and energy of the impinging ions. However these measurements (along with gas pressure and gas flow) are typically used to specify the plasma parameters in DC diode plasma processing. Typically a DC diode discharge plasma is “weakly ionized” with many more neutral particles than ions (104-107 : 1). It will also have a low electron temperature and an even lower ion temperature. If molecular gas species are present in the discharge, many radical species will be formed in the plasma and they will generally greatly outnumber the ions. Any surface in contact with the plasma will be subjected to a flux of ions, neutrals and electrons. A sheath potential will be developed because of the greater mobility and energy of the electrons as compared to the ions. This wall potential (typically 3 - 10 volts) will accelerate ions from the plasmas, giving rise to ion scrubbing of the surface. In plasma processing, the DC diode configuration has many advantages: (i) a rather uniform plasma can be generated over large areas; (ii) power

138

Deposition Technologies for Films and Coatings

input (watts/cm2) can be very high;(iii) the power supplies are rather simple, inexpensive and powerful; (iv) process reproducibility can be attained by controlling the geometry, gas pressure, and target power (current and voltage); and(v) sputtering of surfaces may be used as a source of depositing material. It also has some disadvantages: (i) surface geometries can result in focusing effects giving non-uniform bombardment; (ii) electron heating of surfaces can be extensive; and(iii) insulating surfaces cannot be bombarded. RF Discharge: At high frequencies in a capacitively-coupled discharge, the electrons oscillate in the changing field thus gaining energy, and by collision with atoms, create ions and more electrons. Typical RF power supplies operate at 13.56 MHz (USA industrial frequency) with peak-to-peak voltages of greater than 1000 volts. The plasma acts as a low density electrical conductor and the RF field penetrates quite some distance into the plasma. When the driven RF electrode is a conductor, the surface is bombarded by ions from the plasma during the half-cycle that the electrode is negative. If the surface of the RF electrode is an insulator (backed by a conductor), the metal-insulator-plasma acts as a capacitor and the surface potential that appears on the insulator surface alternates between a low positive potential (because the electrons have a high mobility) and a higher negative potential (because the ions have a relatively low mobility). Ions are extracted from the RF plasma during the negative portion of the cycle and bombard the insulator surface. The RF potentials in the plasma can be determined using capacitive probes. The ion energies bombarding a surface may be determined using a sampling orifice, a retarding grid and a mass spectrometer. In capacitively-coupled RF discharges, the plasma potential, hence the sheath potential at the electrodes, can have a time-varying value of tens to hundreds of volts. When the electrodes have different effective areas, the plasma potential can also have a large DC potential with respect to one or more of the electrodes. These factors affect the distribution of ion energies incident on the electrode surfaces in an RF discharge. Small area electrodes will attain higher voltages than large area electrodes and the electrode potentials can be varied using external capacitance in the circuit. The amount of energy that is coupled into the RF discharge depends on the impedance matching (reflected power) and coupling losses to other surfaces (stray losses). In RF plasma processing, it may be important to determine just how much energy is actually being coupled into the plasma.[42] RF power may be coupled to the plasma using metal electrodes

Surface Preparation

139

external to a dielectric wall, or the RF plasma may be excited using immersed electrodes. Very high plasma densities and ionization can be attained in RF driven plasmas and the discharge may be established at lower pressures than the DC diode discharge. Surfaces immersed in an RF plasma will assume a self-bias. This bias depends strongly on the surface areas and configurations. Often the value of the self-bias is not known (or controlled) and can vary within the system, giving anisotropic bombardment effects. In plasma cleaning, the RF discharge has the advantage that insulating surfaces or insulating films can be bombarded by applying an RF potential. Disadvantages are: (i) high power inputs (heating) to insulating materials cause cracking; (ii) electrode geometries can cause problems with coupling to the RF power;(iii) there are many sources of RF power loss in systems;(iv) plasma uniformity is difficult to obtain over complex surfaces; and (v) the bias conditions on surfaces in the RF plasma are variable and often difficult to control. Microwave Discharges. Plasmas can also be excited at much higher frequencies, 300 MHz to 10 GHz, where electron cyclotron resonance coupling gives more efficient ionization.[43] Ionization can be as high as 20% in a such a microwave discharge. Microwave plasmas are most often used in the downstream processing configuration since substrates in the microwave cavity can “detune” the system. Electron Emitter Discharge. In the DC diode and RF plasma configurations, the electrons necessary to sustain the plasma are produced in the plasma. When using electron emitters, the electron source is independent of the plasma processes. Common electron emitters are hot thermoelectron emitting cathodic surfaces and hollow cathodes. For example: LaB6 surfaces can give an electron emission of >20 A/cm 2 at 1700°C. [44] Often the electrons are confined by a magnetic field (50 - 500 gauss) directed along the anode-cathode axis. The magnetic field increases the electron path length in its movement from the cathode to the anode by causing the electron to spiral in the magnetic field. This increases the ionization efficiency of the electron. The ions in the plasma may be extracted using an electrode at a DC or RF potential to give bombardment of a surface (triode configuration). The triode configuration suffers from a nonuniform plasma density along its axis which gives nonuniform bombardment and a density variation in activated species over a large biased surface. The thermoelectron emitter system is very amenable to forming dense plasmas and for application to downstream processing. By applying highmagnetic

140

Deposition Technologies for Films and Coatings

fields, the plasma (ions and electrons) may be confined and steered into a processing chamber. In plasma processing, some of the advantages of the electron emitter configurations are:(i) the flux of electrons is independent of other plasma and electrode processes; (ii) very high plasma densities can be attained; iii) the plasma properties can be controlled by controlling the electron emission; and (iv) the electron beam can be used as a source for thermally vaporizing material. Disadvantages are:(i) need for well controlled and long life electron emitting sources, and(ii) plasma non-uniformity over large areas and complex surfaces. Low strength (50 - 500 gauss) magnetic fields may be used to confine the electrons and increase their path length in any plasma system. There are a number of ways to establish magnetic fields in plasma chambers including: (i) internal permanent magnets,(ii) external permanent magnets, (iii) external electromagnets, and (iv) moving magnets. Permanent magnets have the advantage that they may be placed in such a way as to position the field lines in a desirable manner; however, getting a uniform magnetic field over a large or complex surface is difficult. Magnetron enhanced plasma configurations have many advantages including: (i) confining the plasma to a small region, (ii) increased ionization and plasma density, (iii) may be operated at low pressures where gas phase collisions are reduced. Disadvantages include:(i) non-uniform magnetic fields give non-uniform plasma generation; (ii) isolation of the plasma to a small region of the processing chamber requiring auxiliary plasma sources in some applications; and (iii) low pressure processing can give rise to a flux of high energy reflected neutral which may affect the processing in an undesirable manner. 8.2 Plasma Chemistry Plasma is a very energetic environment and many chemical processes can occur.[45]-[47] The principal chemical processes are: (i) electron impact ionization, (ii) dissociation (fragmentation) of molecules (formation of radicals), (iii) Penning ionization (metastable collision),(iv) dissociative electron attachment, (v) electron attachment, (vi) excitation,(vii) momentum transfer collisions, (viii) de-excitation of excited species, and (ix) recombination (neutralization). As an example of the complexity of plasma chemical processes, consider that there can be 24 reactions and 16 species formed by the decomposition and reaction of CF4 in a plasma.[48]

Surface Preparation

141

As an example of Penning ionization, consider argon which has metastable excited states of 11.55 and 11.75 eV and copper which has an ionization energy of 7.86 eV. Thus a copper atom colliding with a metastable argon atom is easily ionized. Metastable atoms may be very effective in ionizing other species by collision. Many of these processes are characterized by “cross sections” for collision processes and threshold energies for attachment processes. For example, CF3Cl has a high cross section and low threshold energy (2 - 3 eV) for electron dissociative attachment. CF4 has a low cross section and high threshold energy (5 - 6 eV) for electron dissociative attachment and CCl4 is not activated at all by electron attachment. Therefore CF3Cl is much more easily fragmented and ionized in a plasma than is CF4 or CCl4 . The degree of ionization, dissociation and excitation of the species depends strongly on the gaseous species, electron energy, and density in the plasma. Generally there is much more dissociation than there is ionization of molecular species. Many of these plasma processes serve to activate gas species, i.e., to make them more chemically active by dissociation, ionization, or excitation (plasma activation). Plasma discharges are very effective in desorbing contaminates (e.g., H2 O) from surfaces in a plasma processing chamber. These impurities are activated in the plasma and may contaminate the depositing material. A number of techniques may be used to determine plasma properties.[49] Optical emission is the most common. Actinometry compares the emission interactions of the excited states of reference and subject species to obtain the relative concentrations of the ground states. Optical absorption techniques may also be used to characterize the gaseous species and temperature in a gas discharge. Electron and ion densities in a plasma may be measured by the use of small area Langmuir probes. 8.3 Bombardment Effects on Surfaces The physical effects of energetic particle bombardment on surfaces and depositing films is very dependent on the mass, flux, and energy of the bombarding particles, the flux of non-energetic particles (i.e., depositing or absorbing species), and the atomic mass and chemical nature of the bombarded surface. In many cases the fluxes of impinging particles are not determined or controlled except by the processing parameters. Figure 3.5 depicts the effects of bombardment by energetic species (not electrons) on the surface and the subsurface region. Surface effects

142

Deposition Technologies for Films and Coatings

include: (i) desorption of weakly bonded surface species, (ii) ejection of secondary electrons, (iii) reflection of the energetic species as high energy neutrals, (iv) sputter ejection (physical sputtering) of surface atoms by momentum transfer through collision cascades, (v) sputtering and redeposition of sputtered species by collisions in the gas phase, ionization and acceleration back to the surface and byforward sputter deposition due to the ejection angle on a rough surface, (vi) enhanced surface mobilities of atoms on the surface, and (vii) enhanced chemical reaction of impinging and adsorbed species to produce condensed species (“reactive deposition”) or volatile species (etching). In the subsurface region:(i) the impinging particles may be physically implanted (ii) the collision cascades cause displacement of lattice atoms and the creation of lattice defects, (iii) surface species may be recoil-implanted into the subsurface lattice, (iv) mobile species may be trapped at lattice defects, and (v) much of the particle kinetic energy is converted into heat. Lattice channeling processes can carry these effects deeply into the surface.

Figure 3.5. Schematic depiction of the energetic particle bombardment effects on surfaces and growing films.

The desorption of weakly bound surface species is important to plasma cleaning and may be used to reduce the incorporated contaminants in deposited films. The desorption may also be useful in desorbing unreacted species in reactive deposition processes giving rise to more stoichiometric and chemically stable deposits.

Surface Preparation

143

The physical sputtering of a surface may lead to surface texturing to give a roughened surface (e.g., Ref. 50, 51). Preferential crystallographic sputtering will result in some crystalline orientations being etched at faster rate than others (sputter etching). Preferential atomic sputtering can cause changes in the chemical composition of alloy and compound surfaces.[52][53] If a reactive species is used for bombardment, the surface may be etched if the resulting chemical species is volatile (reactive ion etching, chemical sputtering), or the surface may be converted to a compound if the chemical species is not volatile. Most of the bombarding energy goes into heating the bombarded surface.[54] The incorporation of bombarding species into the surface gives rise togas charging which increases the chemical potential between this region and the interior and thus the diffusion of the gas into the material. In hydrogenbombardment cleaning of a hydrogen-sensitive metal, the hydrogen must be desorbed while the surface is hot. 8.4 Sputter Cleaning and Etching Sputter cleaning uses physical sputtering to remove some of the surface layer which includes contaminates. Sputter cleaning has been called the universal etch since everything can be removed by the sputtering process at approximately the same rate. Sputtering from a plasma environment has disadvantages: ! Contaminates in the plasma become activated and can react with the surface being cleaned. ! Sputtered species can be returned to the surface by scattering (redeposition). ! Surface species can be recoil implanted into the surface. ! Sputtering may develop undesirable surface features. ! High voltages are used in the process. ! Bombardment from the plasma may electronically damage semiconductor materials. ! Special equipment and fixturing may be required. Low energy ion bombardment can be used to clean surfaces without electronic damage.[3][4][55][56] The low energy ion bombardment can be obtained from high pressure plasmas, downstream processing with low biases, and with low energy ion beams.

144

Deposition Technologies for Films and Coatings

Ion beam sputter cleaning may be done in a vacuum environment where the sputtered species are not redeposited on the substrate surface. Reactive plasma cleaning/etching (RPE) uses a reactive species in the plasma which reacts with the surface to form a volatile species which will leave the surface (no concurrent ion bombardment of the surface).[57] Plasmas containing reactive species are used in plasma etching (dry etching) and “reactive plasma cleaning”.[58] Fluorine (from CF4, CHF3, C2F6 , C3F8 and SF6) and chlorine (from Cl2 , CCl4 and BCl3 ) are the most widely used reactive gases. Oxygen is often added to the fluorine system to promote the formation of atomic fluorine and thus increase the etch rate. One of the most common gas mixtures is 96% CF4 with 4% O2. Helium is often added as a diluent and to increase the thermal conductivity of the plasma hence reducing the temperature rise of the surface. The reactive plasma technique is typically specific and may be selectively used to take the oxide from the substrate materials and then etch the substrate material at a low rate. Numerous gases and gas mixtures are available for RPE.[59]-[61] Examples of plasma etching (cleaning) of aluminum with various gases are: Al etched with Cl2, BCl3, CCl4 and SiCl4.[62] The BCl3 removes the oxides, others don’t do very well on oxides. (BCl3 is a good scavenger of H2O and O2 in the plasma system; it produces condensible material—B2O3). If Cu is present in the aluminum there will be a CuCl2 residue which may be volatilized by heating above 200°C. Most metals are most easily cleaned using fluorine gas (because the products are more volatile) rather than chlorine. Caution: etching and cleaning with compound gases should be done with caution since the decomposition products (B,C,Si) may react with or deposit on the surface, thereby changing the chemical composition or contaminating the surface. When using a carbon containing chemical (e.g., CCl4, CF3) in the plasma, a residual carbon contaminate remains—using of HCl or SF6 avoids this problem. Exposure to reactive plasmas may leave a reacted/chemisorbed layer of halogen species. This layer may be very important to the sensitization of the surface to atomic nucleation, or the wettability of organic species to a surface. For instance, the NH4 plasma treatment of Ti gives good adhesion when coated with an amine epoxy. Reactive plasma etching of silicon in CCl4 plasmas has been reported to give a very thin fluoride layer that passivates semiconductor surfaces to oxidation. Oxygen plasmas can be used to reactively remove materials that have volatile oxidation products (e.g., C, hydrocarbons). Hydrogen plasmas can be used to remove materials with volatile hydrides (e.g., C, Si, hydrocarbons).

Surface Preparation

145

In reactive ion etching (RIE), ion bombardment of the surface is used to add energy and secondary electrons to the depositing/etching surface environment. It has been shown with RIE of silicon that carbon residue limits the rate of etching; when etching oxides, the oxygen prevents the formation of the carbon layer and higher etch rates result.[63] In RIE of silicon, the residue that remains on the surface must be removed by a postdeposition treatment of low temperature oxygen annealing.[64] Carbon residuals, when using carbon-containing etchant gases, have also been found in the reactive plasma cleaning of metals where the problem was avoided by using HCl as the etching gas.[58] Typically RIE introduces less surface damage in semiconductor materials than does sputter etching[65] but more than does RPE. The use of ion bombardment with a molecular beam of the etchant gas in vacuum (bombardment enhanced chemical etching) allows reactive cleaning to be used in a vacuum environment.[66] It has been shown that bombardment does increase chemical reactivity at a surface although the mechanism is not well defined.[67] For instance the role of absorbed reactive species, which are subjected to the bombardment, has not been determined and this effect will be different in a plasma environment than in a vacuum environment. Secondary electron emission may play an important role in chemical reactions on a bombarded surface. Plasma etching is used for pattern delineation in semiconductor wafer fabrication, particularly VLSI fabrication. In RIE, electric fields direct ions normal to the surface and etch anisotropy can be obtained. This anisotropy can be used to etch steep-walled features but can be a problem on nonplanar surfaces where off-normal surfaces are etched slowly. A major concern in plasma etching is the etch selectivity which determines the ability to stop or significantly slow down the etching process when materials change (SiO2 on Si). Plasma etching was introduced into the semiconductor industry in the mid-70s in the form of batch reactors, namely barrel reactors (or volumeloading or tubular reactors)[68] and parallel-plate reactors (diode, Reinberg reactors, surface-loading reactors), and more recently, the “HEX” reactors.[69] These reactors hold a number of wafers and are available in a large number of configurations and plasma generation techniques, ranging from planar diodes to triodes to magnetrons. In barrel reactors the etching is due to the activated species, and electric fields (with their associated bias) are often eliminated by the addition of a conducting etch tunnel around the wafers which confines the plasma generation to the region between the

146

Deposition Technologies for Films and Coatings

tunnel and the reactor walls. The etching in a barrel reactor with an etch tunnel depends on long-lived activated species and the etching is isotropic. To achieve high reaction rates, one needs high plasma densities and a large number of reactive species at reasonable power densities. These characteristics can be increased by increasing the electron-atom collision probability by: ! Short mean free paths (diode)—“high” pressures (20% RA for 1 µm grain diameter Ti at a yield strength of 56,000 psi). Moreover, they found that both the yield strength and hardness varied as the inverse square root of grain diameter, i.e., followed the Hall-Petch relationship[192][193] which is σys = σo + kd-½ where σys is the yield strength, d is the grain diameter, and σo and k are constants. Figure 4.51 shows an example of this relationship for Ni-20Cr alloy.

250

Deposition Technologies for Films and Coatings

Figure 4.51. Yield stress vs. inverse square root of average diameter for Ni-20Cr alloy at 25°C. ∆ - wrought; O - deposited; # - Wilcox et al.; $ - Webster. (J. Vac. Sci. Technol., Vol. 12, No. 2:662 (1975), Refs. 12 and 13).

For all these metals and alloys, the yield strength, ductility and hardness values correspond to those of the same materials produced by casting, mechanical working, and recrystallization. The variation of yieldstrength and hardness with grain size, i.e., Hall-Petch type relationships, were also very similar between the deposited and wrought materials, small variations being ascribable to differences in grain morphology and preferred orientations. The Ni-20Cr alloy showed good strength at 1000°C and also obeyed the Hall-Petch relationship. The Hall-Petch relationship is also obeyed by thick films of Cu and Ag to grain-sizes as small as 0.05 µm as shown by Nenioto, Jumbou and Suto.[194] Thus, these thick deposits behave as true engineering materials. Chambers and Bower[195] studied the mechanical properties of 18-8 stainless steel, gold, and magnesium, and showed that their tensile properties were very similar to their wrought counterparts. Smith, Kennedy, and Boericke[122a] studied the (α + β) type Ti-6Al-4V alloy. They showed that the tensile properties are very similar to the wrought material except for a much smaller value in percent elongation due to

Evaporation

251

premature onset of plastic instability in a tensile test at pinholes in the deposited samples. The bend ductility was, however, superior to the wrought material. Shevakin et al.[74] studied the strength and hardness of aluminum and copper condensates as a function of the deposition parameters. They found that the mechanical properties varied widely with changes in process parameters. The deposited materials also showed higher strength and plasticity than the same materials conventionally fabricated, i.e., casting followed by the neo-mechanical treatments. They also found that the hardness values obeyed the Hall-Petch relationships. Paton, Movchan, and Demchishin[122] showed that it is possible to produce thick deposits of all the alloys across the Cu-Ni system and that the mechanical properties vary systematically with composition as would be expected. Dispersion-Strengthened Alloy Deposits. The first data on dispersion-strengthened alloys produced by evaporation methods was reported by Paton, Movchan, and Demchishin[122] who showed that Ni-ZrO2 alloys produced by co-evaporation from two sources contained ZrO2 particles in the size range of 150 - 3000 Å by changing the deposition temperature from 650° to 1100°C. They also showed that the creep strength at 1000°C increased with volume fraction of zirconia. These alloys showed remarkable stability in the microstructure and mechanical properties even after creep exposures of 5 hours at 1300°C. Subsequently, Movchan and coworkers studied the structure and properties of Ni-ZrO2 alloys,[196] and Fe with Al2 O3, ZrO2, ZrB2, TiB2, NbC, or TiC second phases.[197] The alloys were produced by co-evaporation of the constituents from electron-beam heated evaporation sources. One of the very striking effects of the incorporation of a dispersed phase in an evaporated metallic coating is a very pronounced refinement in grain size, often by a factor of 10 to 100 or more, and the inhibition of grain growth at elevated temperatures. This was first reported by Kennedy[120] for the incorporation of Y2O3 dispersions in Fe condensates. It was also observed by Majumder[204] for Cu-Al2O3 deposits and by Jacobson et al.[224] in Ni-Al2 O3 deposits. In a very recent paper, Movchan et al.[75] show the grain size reduction in the Ni-Al2O3, Fe-ZrO2, Fe-ZrB2, and Fe-NbC deposits. The most intense grain refining effect is observed at low volume fractions (0.5 vol.%) of the second phase. Of particular interest to this topic is a subsequent paper by Majumder[205] showing the strong effect of alumina content in increasing creep strength,

252

Deposition Technologies for Films and Coatings

which confirms the model proposed by Mott[225] who suggested that the ideal creep-resistant material is one with a fine grain size in which the grain boundaries are filled with some substance, say a refractory oxide, to inhibit the motion of grain boundaries. Perhaps the most interesting result from Movchan’s work[196][197][226] is that the dispersed phase alloys show a maximum in room temperature ductility in the W-ZrO2 system at 1 vol.% ZrO2, in the Fe-Al2 O3 system at 0 3 vol.% Al2O3, and in the Fe-NbC system at 0.1 vol.% NbC. The yield strength and tensile strength do not show such a maximum but monotonically increase with volume fraction of the oxide phase. The significance of this observation lies in the possibility of increasing the ductility of MCrAlY coatings which, in turn, would result in increased resistance to spalling, thermal shock and fracture, thus improving the performance of the coating. One might speculate on reasons for this effect including strain-relaxation sites at particle matrix interface, or at grain boundaries due to the greatly increased grain boundary area, favorable changes in residual stress distribution in the coating possibly due to changes in elastic modulus or strength, increased toughness or crack propagation resistance conferred by the dispersed phase particles, change in crystallographic texture, etc. Movchan, Badilenko, and Demchishin[227] have recently presented a very detailed treatment on the regulation of microstructure and mechanical properties of thick vacuum condensates with the help of dispersed phases. They give a detailed theoretical model of(i) the influence of dispersed phases on grain size; (ii) the size and shape of dispersed particles as affected by deposition parameters; (iii) strength and ductility of two phase condensates as influenced by the grain size, particle size, mean free path, nature of the particle (deformable vs. nondeformable) and particle-matrix adhesion energies; (iv) steady-state creep behavior. The model is then confirmed by the experimental results. As a good illustration of one of these points, Fig. 4.5 shows the difference in strength and ductility vs. volume fraction of second phase when the latter is deformable or nondeformable. For both types of particles, there is a ductility maximum at a particular Dg / l ratio, but the strength behavior is diametrically opposite showing a monotonic increase for a nondeformable particle and a minimum for the deformable particle. Dg is the grain size in the plane perpendicular to the vapor flux direction and λ is the interparticle spacing. This model forms an excellent basis for design of experiments to study the effect of dispersed phases on the structure and properties of MCrAlY alloys.

Evaporation

253

Another fascinating observation by Movchan et al.[227] applies to two phase alloys with deformable particles having a high adhesion to the matrix. The ductility of the alloys exceeds that of the pure matrix material at room temperature by a factor of 1.5 to 2 at a strain rate of 1.67 x 10-3 sec -1 (0.1 min1). At high temperatures, the elongation at fracture exceeds 100%, i.e., superplasticity is developed. Laminate Composites. Laminate composites are attractive and preferable over fibrous composites because of their uniform properties in the plane of the sheet. In comparison to mechanical methods of producing laminate composites, e.g., bonding of sheets or foil, physical vapor deposition techniques are very suited to the production of such composites, particularly if each lamellae is to be very thin (0.01 to 1 µm thickness) in order to improve the strength and toughness of the composite. From theoretical considerations, it may be expected that the mechanical properties of microlaminate composites would follow an adaptation of the well known Hall-Petch relationship.[192][193] (Yield strength or hardness =αd½ where d is a characteristic microstructural parameter such as grain diameter, sub-grain diameter, laminae thickness, etc.). This correlation will be explored later. In another approach, Koehler[241] proposed that a laminate structure which is formed of thin layers of two metals, A and B, where one metal,A, has a high dislocation-line energy and the other metal, B, has a low dislocationline energy, should exhibit a resistance to plastic deformation and brittle fracture well in excess of that for homogeneous alloys. If the dislocation-line energies are so mismatched, the termination of the motion of dislocations in metal B is energetically favored over dislocation propagation across the layer interface into metal A. In the case of thick layers, the dislocations generated in either of the layers will pile-up in B at the A-B interface and thereby provide the stress concentrations needed for premature yield. Therefore, to suppress the generation of new dislocations in the layers, the thicknesses ofA and B must be small. Thus, there is a critical minimum layer thickness required for the generation of dislocations. This model does not take into account a high imperfection content in the laminate layers but assumes that their mechanical properties are similar to bulk annealed materials. Most of the prior work on microlayer condensates was investigated in condensates produced at low deposition temperatures[240][242]-[249] (T 30 Torr), water ring pumps and different mechanical chemical pumps are used. The chemical pumps are also employed at lower pressures (1 Torr), and at the lowest pressures in combination with, for instance, mechanical boosters. When mechanical pumps are used in CVD processes, the pump oil can polymerize or be damaged in other ways by certain gaseous species. The pump oilshould

Chemical Vapor Deposition

417

be chosen with respect to its compatibility with the specific gaseous species. The polymerization of the oil can easily be followed by measuring its viscosity at different times. Mechanical pumps also produce back-diffusion of oil molecules into the system. The back-diffusion can be stopped in a trap (zeolite trap, liquid nitrogen cold trap) just before the pump. With the current trend of using lower pressures to create abrupt interfaces and superlattices, diffusion (to pump hydrogen) and turbo pumps are also utilized. Finally, external oil filtering systems reduce the wear of the mechanical pumps in processes where solid particles are formed and transported in the vapor to the pump. In a CVD process, more or less toxic, explosive, and corrosive gases are used/formed. To remove them before exhaust, scrubbers are used. The scrubber type is appropriate to the CVD process used. Halides can easily be neutralized in a water scrubber. Carbon monoxide and hydrogen can be burnt in a flame. Arsine can be removed by simply heating the reactor gas in a furnace especially arranged for this purpose (i.e., with a high efficiency for stripping arsenic from the gas stream). Recycling is frequently used to improve process economy. It becomes necessary in large scale processes, where expensive reactants are utilized and the conversion efficiency of the reactants is low. The technique of recycling varies from process to process. A simple recycling can be achieved in some processes by selective condensation. It can easily be applied in systems where the component to be recycled has the highest boiling point. In the production of boron fibers for instance—where hydrogen and boron trichloride are used—the unconverted boron trichloride is condensed in the exit stream from the reactor, while the hydrogen and the hydrogen chloride (formed in the process) are not condensed. 3.9

Analysis of the Vapor in a CVD Reactor

Various spectroscopic techniques have been used to analyze the vapor in a CVD reactor. The purpose of these analyses is to achieve a better understanding of the processes. Spectroscopic techniques are also used for process control. Mass-, Raman, and IR-spectroscopy are in use.[28]-[30]

4.0

GAS FLOW DYNAMICS

The rate and arrangements of the gas flows in a CVD reactor influence the deposition conditions considerably. In the following, some fundamentals

418

Deposition Technologies for Films and Coatings

of gas flow dynamics are given. For further details the reader is recommended textbooks in chemical engineering or other books treating transport processes. In a gas, different states exist. In the molecular state, the mean free path of the molecules is much longer than the dimensions of the vessel. In the viscous state, the mean free path is much shorter than the vessel dimensions. The viscous state can be divided into two flow regimes. The laminar flow regime, where the flowing gas layers are parallel, is appropriate to low gas velocities. At higher velocities, the flow becomes turbulent. The limit between the laminar and the turbulent flow is defined by the value of Reynold’s number, Re:

where:

Re =

ρ • V •D η

ρ V η D

the density of the gas the velocity the viscosity the diameter of the tube

= = = =

At Re < 1100 the flow is laminar, while at Re > 2100 the flow is turbulent. The range 1100 to 2100 is a mixed flow regime. The Reynold’s number given characterizes the flow in an isothermal environment. In the non-isothermal environment existing in a cold wall reactor, natural convection induces a turbulence even at low flow rates. Consider the situation above a heated surface (Fig. 7.10). At small temperature gradients dT/dx, the varying density of the gas along the coordinate X is compensated by the gravitational field and no movement of the gas occurs. At larger gradients, the gas starts to move and the laminar flow can no longer be retained. From Fig. 7.10 it can be understood that turbulence at a heated substrate surface may be obtained at different parts of it. For instance, when the temperature gradient is perpendicular to the gravitational field turbulence occurs at smaller temperature gradients than in the antiparallel case. Different dimensionless quantities are used for identifying conditions of laminar and turbulent flows at different geometries. For instance, the Rayleigh number, Ra, and the Grashof number, Gr, are employed.[31] Ra and Gr are related to each other. Gr multiplied by the Prandtl number (nearly equal to one for gases) yields Ra.

Chemical Vapor Deposition

419

Figure 7.10. Forces at a heated substrate surface. The value, g, the gravitational force, dT/dx the temperature gradient.

To summarize the flow situation, diagrams depicting flow stability regions like that in Fig. 7.11 are constructed for different geometries and reaction gas mixtures. In an isothermal environment, Gr is equal to zero and Re describes the situation completely. In a non-isothermal environment Gr is larger than zero (increases with increasingDT). Turbulence occurs at a certain Gr value, depending on the flow rate of the specific gas mixture and the temperature difference between the hot and cold part in the reactor.

Figure 7.11. Flow diagram showing flow stability regions.

420

Deposition Technologies for Films and Coatings

In many CVD processe,s the laminar flow region is normally used. High flow rates (turbulence) usually decrease the conversion efficiency of the reactants to the coating and very large gas volumes have to be handled. The flow situation around the object to be coated can be visualized in smoke experiments where the smoke is generated inside the reactor from, for instance, titanium tetrachloride and water. 4.1

Gas Flow Patterns

For growth of films of uniform thicknesses and compositions the gas flow patterns are of greatest importance. This is particularly the case when “high” pressures (about 1 atm) are used. At reduced pressures the diffusivity of the vapor species increases, which results in a better mixing of the process gases, and hence the flow fields become less important. The gas flow patterns are very complicated in many CVD reactors because the flow is driven by both the pressure differences (forced convection) and gravity (free convection) in mostly complex reactor geometries. Free convections contributes to the gas flow pattern not only in cold-wall reactors with their steep temperature gradients but also in hot wall reactors with small axial temperature gradients. These are employed for correction of the successive depletion of the vapor with respect to the reactants as they flow through the reactor. Fluid flow phenomena characteristic of various CVD reactors have been reviewed by, for instance, Westphal[32] and Jensen.[33] In gas flow calculations, the continuity equation for the total mass, for the single components, for the energy, and for the momentum must be solved. For a suitable choice of experimental conditions (flow regimes and reactor geometries) simplifying equations and boundary conditions—resulting in reasonable computer times—are obtained. As an introduction to this field results from detailed flow calculations for two main reactor types are summarized. Wahl[34] has calculated the flow fields in some cold wall reactors for the laminar flow region (atmospheric pressure) for the CVD of silicon nitride from SiH4 and N2. The reactor geometry investigated can be seen in Fig. 7.12. The flow patterns calculated for this geometry and the inverted geometry (difference in the buoyance-driven convection) are shown in Fig. 7.12. The flow pattern becomes more complicated in the inverted geometry, i.e., when the forced convection and the gravity interact. The flow pattern, including generation of loops and rolls,was strongly dependent on the ratio between the free convection and the forced convection.

Chemical Vapor Deposition

421

Figure 7.12. Gas flow pattern in a cold wall reactor, where the forced and buoyancedriven convection (a) interact, and (b) counteract, substrate temperature 900 K, Re = 50.[34]

To show the influence of the reactor geometry on the flow pattern, a calculation of Wahl and Hoffman[35] will be taken as an example. The reactor geometry considered as well as the results from the calculations are shown in Fig. 7.13. As can be seen, the flow pattern in this geometry is not as complicated as that obtained in the previous geometry (Fig. 7.12), where the diameter of the inlet gas tube was half the diameter of the hot plate.

422

Deposition Technologies for Films and Coatings

Figure 7.13. Gas flow pattern in a cold wall reactor with a geometry different from that in Fig. 12.[35]

A technique frequently used for correction of the successive depletion of the reactants as they are transported through a hot wall reactor is the application of a temperature gradient in the axial (flow) direction of the reactor. Even small temperature gradients, however, can induce buoyancy-driven convection. The flow pattern in a hot wall reactor with a temperature gradient for the atmospheric CVD of GaAs in the Ga-AsCl3-H2 system has been calculated for different temperature gradients, different gas flow velocities, and different reactor heights by Westphal et al.[32] A typical result from their calculations is shown in Fig. 7.14. It can be seen that a convection roll, induced by free convection, is generated. The effect of free convection on the gas flow pattern decreased with decreasing temperature gradients, increasing gas flow velocities and decreasing reactor heights. No extreme conditions were required to generate convection rolls. They were, for instance, obtained at a temperature gradient of 6 K cm-1, a gas flow velocity of 2 cm s-1 and a reactor height of 5 cm.

Chemical Vapor Deposition

423

Figure 7.14. Gas flow pattern in a hot wall reactor with a temperature gradient of 6 K cm-1, linear gas flow velocity of 2 cm s-1, and channel height 5 cm, deposition system Ga-AsCl3, H2.[32]

Convection rolls are frequently generated in CVD. These rolls cause dilution of the reaction gas with reaction products, resulting in an alteration of the deposition conditions. Developed rolls may yield problems for multilayer growth with well-defined phase boundaries and for the creation of sharp doping profiles. By using extreme low total pressures (in the 10-3 Torr range), these problems can be solved. 4.2

Boundary Layers

In CVD the substrates are immersed in a gas stream. From fluid mechanics it is known (see, for instance, Ref. 36) that so-called boundary layers are developed near the substrate surface. The boundary layers are defined as the region near the substrate surface where the gas stream velocity, the concentration of the vapor species and the temperature are not equal to those in the main gas stream. Thus a velocity boundary layer, a concentration boundary layer and a thermal boundary layer exist. The development of a velocity boundary layer in a laminar flow region is sketched in Fig. 7.15. The gas velocity is zero at the substrate surface and increases to a constant value (the bulk gas flow velocity). The layer over which the gas flow velocity varies is the boundary layer. The thicknessδ of a boundary layer (laminar flow) at a position X on the substrate or susceptor[36] is given by δ = a(ηX/ρν)1/2

424

Deposition Technologies for Films and Coatings

where a is a proportionality constant, η is the viscosity of the gas, ν is the velocity of the gas and ρ is the density of the gas. From a knowledge of the temperature and pressure dependence of η, ρ and ν[31][36] it is deduced that the thickness of the boundary layer increases with increasing temperature and decreasing total pressure. Moreover, the thickness also increases with increasing transport distance of the gases along the substrate surface.

Figure 7.15. Definition of the velocity boundary layer.

The development of boundary layers in CVD situations has been investigated both experimentally and theoretically. Eversteijn et al.,[37] used smoke experiments to visualize the flow pattern in a horizontal epitaxial reactor. The smoke was generated from TiCl4 and water. They observed an immobile layer of gas, called the stagnant boundary layer, above the susceptor. It was shown later, however, that in steep temperature gradients (near the susceptor) fine particles are driven away from the susceptor by thermophoretic forces.[38] This shows that smoke experiments can only be used to map the flow at a greater distances from a heated susceptor. Ban and Gilbert[28] investigated the heat transport in a cold wall reactor by heating a susceptor in helium and measuring the temperature at different locations above the susceptor with a thermocouple with a small diameter. The very steep temperature gradient can be seen in Fig. 7.16. Ban and Gilbert also investigated the concentration profiles of various vapor species in silicon CVD from an H2 /SiCl4 gas mixture. They introduced a fine capillary probe for a mass spectrometer at different locations above the susceptor. The concentration profile of SiCl4 and the reaction product HCl can be seen in Fig. 7.17. The thickness of the concentration boundary layer in this case is more than 2 cm. The successive depletion with respect to the reactants as they were transported through the reactor is shown in Fig. 7.18. At a height of 7 mm above the susceptor and 15 cm downstream of

Chemical Vapor Deposition

425

Figure 7.16. Temperature profile in helium. Linear gas flow velocity: 24.9 cm s-1.[28]

Figure 7.17. Concentration profiles of SiCl4 and HCl in the CVD of silicon from SiCl4 and Hc. Transport distance along the susceptor: 12.5 cm, linear gas flow velocity: 24.9 cm s-1, - - - 1000°C, —— 1140°C. [28]

426

Deposition Technologies for Films and Coatings

the susceptor, the partial pressure of SiCl4 was reduced to about 50% of the initial value. Sedgwick et al.[29] measured temperature and concentration profiles in an air-cooled horizontal cold wall reactor using Raman scattering. They observed a steep temperature gradient near the susceptor. The temperature profile developed was dependent on the position along the susceptor.

Figure 7.18. Partial pressure profile of SiCl 4 as a function of the transport distance along the susceptor at a height of 7 mm above the susceptor.[28]

Giling[39] investigated the gas flow patterns and temperature profiles at atmospheric pressure in air-cooled as well as water-cooled horizontal epitaxial reactors by means of interference holography. The gases used were H2, He, N2 and Ar. H2 and He yielded stable laminar flows through both the watercooled and the air-cooled reactor. At flow velocities higher than 40 cm s-1 a cold gas finger, indicating incompletely developed flow and temperature profiles, was observed in the air-cooled reactor. N2 and Ar behaved quite differently from H2 and He and different convective effects were obtained. At flow velocities higher than 4 cm s-1 for instance, a laminar layer about 8 mm thick was developed near the susceptor, while the gas above this layer appeared to be in turbulence.

Chemical Vapor Deposition

427

Giling also pointed out the importance of entrance effects, i.e., that it will take some distance (the entrance length) from the susceptor edge for full velocity and temperature profiles to develop. According to Schlichting[36] the entrance length for the development of the full velocity profile is given by the equation X = 0.04hRe where h is the height of the channel and Re is the Reynolds number. Hwang and Cheng[40] predicted that the thermal entrance length was seven times longer than the flow entrance length. Giling confirmed this for H2 in his measurements. Coltrin et al.[41] have developed a mathematical model of silicon CVD from silane in a cold wall reactor. The model includes gas phase chemistry as well as fluid mechanics, and predicts temperature, velocity, and concentration profiles for many vapor species. Figure 7.19 depicts the temperature contour in a typical calculation. The thickness of the boundary layer is in the centimeter range and increases with increasing gas transport distance along the susceptor.

Figure 7.19. Calculated temperature contours for silicon CVD from silane (0.6 Torr) and helium as a carrier gas (600 Torr). Temperature: 1018 K, gas flow velocity: 15.3 cm s-1.[41]

428

Deposition Technologies for Films and Coatings

4.3

Mass Transport Processes Across a Boundary Layer

Different mass transport processes across a boundary layer can be distinguished: 1. Fickian diffusion occurs because of the concentration gradient across the boundary layer. 2. Thermal diffusion or Soret diffusion is induced by a temperature gradient, in for instance, a cold wall reactor.[31] This diffusion is of greatest importance in systems having large differences in molecular weights and molecular sizes between vapor species. 3. A concentration gradient implies a density gradient, resulting in a buoyancy-drivenadvective flux.[42] 4. In the overall CVD reaction, the number of moles of gas may be changed. This induces a flux (Stefan flux) towards or away from the substrate surface. In, for instance, the CVD of boron from BCl3 and H2 according to the reaction 2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g) the number of moles in the vapor is changed from 5 to 6, causing a flux from the substrate.[43]

5.0

RATE-LIMITING STEPS DURING CVD

In a CVD process various sequential steps occur. Each of these steps may be rate-limiting in the absence of thermodynamic limitations. Plausible rate-limiting steps are as follows (see also Fig. 7.20): (a) transport of the gaseous reactants to the boundary layer surrounding the substrate (free and forced convection);(b) transport of the gaseous reactants across the boundary layer to the surface of the substrate (diffusion and convections flows); (c) adsorption of the reactants on the surface of the substrate; (d) chemical reactions (surface reactions between adsorbed species, between adsorbed species and reactants in the vapor and or between reactants in the vapor),(e) nucleation (at least at the initial stage); (f) desorption of some of the reaction products from the surface of the substrate; (g) transport of the reaction products across the boundary layer to the bulk gas mixture; (h) transport of the reaction products away from the boundary layer. In each of these steps several processes may proceed simultaneously.

Chemical Vapor Deposition

429

Figure 7.20. The various steps in a CVD process.

Even though several rate-limiting steps can be identified in a CVD process, only five main categories of control are normally discussed: 1. Thermodynamic control. Thermodynamic control means that the deposition rate is equal to the mass input rate into the reactor (corrected for the yield of the process). This occurs at extreme deposition conditions (very low flow rates, high temperatures, etc.). The temperature dependence of the deposition rate is obtained from thermodynamic calculations. 2. Surface kinetics control. If the deposition rate is lower than the mass input rate into the reactor and the mass transport rate in the vapor in the reactor to or from the substrate, a surface kinetics control or nucleation control exist. The surface kinetics control is favorable for obtaining coatings of uniform thicknesses on more complicated shaped substrates. The mechanisms of surface reactions are discussed in Sec. 6. 3. Mass transport control. A process may also be controlled by the mass transport in the vapor in the reactor to or from the substrate surface. This occurs frequently at high pressures and high temperatures. 4. Nucleation control. At low supersaturations the deposition rate may be controlled by the nucleation. 5. Homogeneous reaction control. In some processes the formation rate of key species in the vapor may control the deposition rate.

430

Deposition Technologies for Films and Coatings

Since the mass transport in the vapor or the surface kinetics usually controls the deposition rate, the following discussions are limited to just these two cases. Surface kinetics control is normally desirable and means a maximum in throwing power or step coverage. Figure 7.21 shows conditions of complete mass transport control, complete surface kinetics control and mixed control. In the surface kinetics control, a fast diffusion in the vapor is combined with a slow surface reaction. For a mass transport control, the surface kinetics is fast while the mass transport in the vapor is slow.

Figure 7.21. Diagrams illustrating situations of complete mass transport control in the vapor (a), and surface kinetics control (b), respectively, and (c) shows conditions of mixed control.

Chemical Vapor Deposition

431

Reaction resistances are often used to predict rate-limiting steps or control in CVD. To illustrate their principle use, reaction resistances are employed to define the surface reaction control and the mass transport control, respectively. The diffusion flux JD across the boundary layer is given by JD = where:

D Pb − Ps R• T δ

D = diffusion coefficient R = gas constant T = absolute temperature δ = boundary layer thickness Pb and Ps (see Fig. 7.21)

The mass flux JM towards the surface is expressed as JM =

km ( Ps − Peq) RT

where km is the mass transfer coefficient. Pb and Peq are known from the reaction gas composition and from thermodynamic calculations, respectively. Ps can be eliminated by assuming steady-state conditions (JM = JD)

Ps =

km • δ • Peq D km • δ + 1 D

Pb +

Km is thus given by Pb − Peq JM = 1 RT δ + 1 D km Pb - P eq is the driving force of the process and δ/D and 1/km are reaction resistances. If δ/D >> 1/km the process is controlled by the mass transport in the vapor, while surface reaction control is achieved at 1/km
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF