Ejercicios de Vhdl Para Examen

Share Embed Donate


Short Description

Download Ejercicios de Vhdl Para Examen...

Description

Instituto Tecnológico de Oaxaca

Diseño Digital con VHDL Ingeniería Electrónica 11:00 AM-12:00 AM Ejercicios para el examen de la primera unidad Ing. Leticia Callejas ALUMNO Martinez Gomez Luis Angel

EJERCICIOS CAPITULO I 1.1- ¿Qué significa monolitico? El termino monolitico se deriva de las raices griegas “mono” y “lithos” que significan uno y piedra respestivamente 1.2- ¿Cuál es el siginificado de las siglas ASIC? Circuitos integrados desarrollados para aplicaciones especificas 1.3- ¿Cuáles son las categorias de tecnologias de fabricacion de los CI? Diseño totalmente a la medida (full custom), matrices de puertas predifundidas ( semi custom / gate arrays), celdas estandares precaracterizadas (semi custom / standard cells), logica programable ( CPLD y FPGA) 1.4- Describa en que consiste el diseño Full Custom Consiste en desarrollar un circuito para una aplicación específica mediante la integración de transistor por transistor. 1.5- Mencione las caracteristicas mas relevantes del diseño Full Custom Tiene total libertad de diseño, pero requiere de todas las etapas del proceso de fabricacion, los riesgos y costos son muy elevados 1.6- ¿Cuál es el significado de las siglas PLD? Dispositivos Logicos Programables 1.7- ¿Qué tienen en comun los dispositivos PROM, PLA, PAL, GAL y los CPLD Y FPGA? Que todos son dispositivos logicos programables 1.8- ¿Qué es OLMC? son Macroceldas logicas de salida estan formadas por circuito logicos que se pueden programar como logica combinacional o secuencial Página 2

1.9- ¿Cuál es el significado de las siglas CPLD y FPGA? CPLD(Dispositivos Logicos Programables Complejos) y FPGA (Arreglo de Compuertas Programables en Campo) 1.10- Describa como se encuentra estructurado un CPLD La estructra basica de un CPLD contiene multiples bloques logicos conectaods por medio de señales canalizadas desde la interconexion programable (PI). La unida PI se encarga de interconectar los bloque logicos y los bloques de entrada/salida del dispositivo sobre las redes apropiadas 1.11- Describa la estructura de un FPGA en terminos generales Un FPGA esta formado por arreglo de bloques logicos configurables (CLB) que se comunican entre ellos y con las terminales de entrada/salida (E/S) por medio de alambrados llamados canales de comunicacion 1.12- ¿Que es un compilador logico? Es el programa en el que se procesa y sintetiza el diseño logico que se va a introducir en un PLD mediante un diseño asistido por computadora 1.13- ¿Cuál es el significado de las siglas VHDL? Lenguaje de descripcion de hardware de circuitos integrados de muy alta velocidad 1.14- ¿qué significado tienen las siglas VHSIC? Circuitos integrados de muy alta velocidad 1.15- Describa tres ventajas de la programacion en VHDL  NOTACION FORMAL: los circuitos integrados VHDL cuentan con una notacion que permite el uso en cualquier diseño electronico  DISPONIBILIDAD PUBLICA: VHDL es un estandar no sometido a patente o marca registrada alguna por lo que Página 3

cualquier empresa o instituto puede utilizarla sin restricciones. Ademas, dado que el IEEE lo mantiene y documente existe la disponibilidad de estabilidad y soporte  INDEPENDENCIA TECNOLOGICA DE DISEÑO: VHDL se diseño para soportar diversas tecnologias de diseño (PLD, FPGA, ASIC, etc). Con distinta funcionalidad, a fin de satisfacer las distintas necesidades de diseño 1.16- ¿Cuáles son las compañias mas importantes en la fabricacion de dispositvos logicos programables? Xilinx, Motorola, Clear Logic, Cypress Semiconductor y Altera Corporation

EJERCICIOS CAPITULO 2 UNIDADES BASICAS DE DISEÑO 2.1- Describa los cinco de tipo de unidades de diseño en VHDL La declaracion de la entidad (entity declaration), arquitectura (architecture), configuracion (configuration), declaracion del paquete (package declaration) y cuerpo del paquete (package body)

2.2- Determine cuales son las unidades de diseño necesarias para realizar un programa en VHDL La entidad y la arquitectura estruturacion de un programa

son

indispensables

en

la

2.3- Mencione las unidades de diseño primarias y secundarias Las declaraciones de entidad, paquete y configuracion se consideran primarias minetras que la arquitectura y el cuerpo del paquete se consideran secundarias

Página 4

DECLARACION DE ENTIDADES 2.4- Describa el significado de una entidad y cual es su palabra reservada Una entidad es el bloque elemental del diseño en VHDL, las enitdades son todos los elementos electronicos(sumadores, compuertas, flip flops, memorias, etc) que forman de manera indivual o en conjunto un sistema digital Su palabra reservada es entity 2.5- En la siguiente declaracion de entidad indique:

a) El nombre de la entidad seleccion b) Los puertos de entrada x con un vector de 0 a 3 c) Los puerto de salida Es f d) El tipo de dato Std_logic (estandar logico)

Página 5

2.6- Señale cual de los siguientes indicadores son correctos o incorrectos, colocando en la lines de respuesta la letra C o I respectivamente

1logico

I

desp_laza C

con_control C

N_ivel

pagina C

Architecture

registro C

S_uma#

2suma

Res__ta I

I

I c I

2.7- Declare la entidad para la compuerta AND de ejercicio 2.7:

library IEEE; use IEEE.STD_LOGIC_1164.all; entity gate_and is port( a,b: in STD_LOGIC; c: out STD_LOGIC); end gate_and;

Página 6

2.8.- Declare la entidad para el siguiente circuito

library IEEE; use IEEE.STD_LOGIC_1164.all; entity DEMO_1 is port( a,b: in STD_LOGIC; demo: out STD_LOGIC); end demo_1; 2.9- Declare la entidad para el circuito que se muestra en la figura. Utilice vectores

library IEEE; use IEEE.STD_LOGIC_1164.all; entity promedio1 is port( a,b: in STD_LOGIC_VECTOR(2 downto 0); c: out STD_LOGIC_VECTOR(2 downto 0)); end promedio1;

Página 7

2.10- Declare la entidad para el siguiente circuito que utiliza vectores

library IEEE; use IEEE.STD_LOGIC_1164.all; entity circuito1 is port( a,b: in STD_LOGIC_VECTOR(0 downto 3); c: out STD_LOGIC_VECTOR(0 downto 3)); end circuito1; 2.11- Describa que es una librería en VHDL es un lugar al que se tiene acceso para utilizar la unidades de diseño predeterminadas por el fabricante de la herramienta (paquete) y su funcion es agilizar el diseño 2.12- Indique el significado de la siguiente expresion use ieee.std_logic_1164.all; ieee es la libreria, std_logic_1164 es el paquete y la palabra reservada es all que indica que se pueden usar todos los componentes almacenados en el paquete

Página 8

2.13- Declare la entidad del cirucuito multiplexor de 4:1 utilizando la librería use ieee.std_logic_1164.all;

library IEEE; use IEEE.STD_LOGIC_1164.all; entity mux41 is port( E: in STD_LOGIC_VECTOR(0 downto 3); S: in STD_LOGIC_VECTOR (0 downto 1); F: out STD_LOGIC); end circuito1;

2.14- Declare la entidad del multiplexor mostrado en la figura del ejercicio 2.13, si cada entrada esta formada por un vector de 4 bits library IEEE; use IEEE.STD_LOGIC_1164.all; entity mux41 is port(

Página 9

E(0): in STD_LOGIC_VECTOR(0 downto 3); E(1): in STD_LOGIC_VECTOR(0 downto 3); E(2): in STD_LOGIC_VECTOR(0 downto 3); E(3): in STD_LOGIC_VECTOR(0 downto 3); S(0): in STD_LOGIC_VECTOR (0 downto 3); S(1): in STD_LOGIC_VECTOR (0 downto 3); F: out STD_LOGIC); end circuito1; 2.15- Declare la entidad del circuito mostrado en el ejercicio 2.2 utilizando vectores y el paquete std_logic_1164. library ieee; use std_logic_1164.all; entity multiplicador is port (x0,x1,y0,y1: in std_logic_vector (3 downto 0); z0,z1,z2,z3: out std_logic_vector (3downto 0)); End multiplicador

ARQUITECTURAS 2.16- Mediante un estilo funcional programe en VHDL el funcionamiento de una lampara para codgio morse que encienda la luz al presionar un boton y la apague al soltarlo - - declaracion funcional lamapra morse library IEEE; use IEEE.STD_LOGIC_1164.all; entity lamp_morse is port( a: in STD_LOGIC; Página 10

c: out STD_LOGIC); end lamp_morse; architecture lamp_morse of lamp_morse is begin morse : process (a,c) begin if a = ´1´then c
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF