EC1401 VLSI - Question Bank (N.shanmuga Sundaram)

March 1, 2018 | Author: Dr. N.Shanmugasundaram | Category: Cmos, Integrated Circuit, Mosfet, Field Programmable Gate Array, Field Effect Transistor
Share Embed Donate


Short Description

Question bank covering all possible Part-A (2 marks) questions with answers for the subject EC1401-VLSI Design....

Description

EC1401 – VLSI Design Question and Answers

Prepared by

N.SHANMUGA SUNDARAM Assistant Professor Department of ECE Mahendra Engg College Namakkal Dt. - 637503.

Mahendra Engineering College

EC1401 – VLSI Design

EC1401 – VLSI Design 2 Marks - Question and Answers Unit I

1.

What is Intrinsic and Extrinsic Semiconductor? The pure Silicon is known as Intrinsic Semiconductor. When impurity is added with pure Silicon, its electrical properties are varied. This is known as Extrinsic Semiconductor.

2.

What is CMOS Technology? The fabrication of an IC using CMOS transistors is known as CMOS Technology. CMOS transistor is nothing but an inverter, made up of an n-MOS and p-MOS transistor connected in series.

3.

Give the advantages of CMOS IC? • Size is less • High Speed • Less Power Dissipation

4.

What are four generations of Integration Circuits? • SSI (Small Scale Integration) • MSI (Medium Scale Integration) • LSI (Large Scale Integration) • VLSI (Very Large Scale Integration)

5.

Give the variety of Integrated Circuits? • More Specialized Circuits • Application Specific Integrated Circuits (ASICs) • Systems-On-Chips

6.

Why NMOS technology is preferred more than PMOS technology? N-channel transistors have greater switching speed when compared to PMOS transistors. Hence, NMOS is preferred than PMOS.

7.

What are the different MOS layers? • • • •

n-diffusion p-diffusion Polysilicon Metal

1

© NSS / ECE

Mahendra Engineering College

8.

EC1401 – VLSI Design

What are the different layers in MOS transistor? The layers are Substrate, diffused Drain & Source, Insulator (SiO2) & Gate.

9.

What are the different operating regions for an MOS transistor? • • •

10.

Cutoff Region Non- Saturated (Linear) Region Saturated Region

What is Enhancement mode transistor? The device that is normally cut-off with zero gate bias is called Enhancement mode transistor.

11.

What is Depletion mode device? The Device that conducts with zero gate bias is called Depletion mode device.

12.

When the channel is said to be pinched off? If a large Vds is applied, this voltage will deplete the inversion layer. This Voltage effectively pinches off the channel near the drain.

13.

What are the steps involved in manufacturing of IC? • • • • • • • • •

Silicon wafer Preparation Epitaxial Growth Oxidation Photo-lithography Diffusion Ion Implantation Isolation technique Metallization Assembly processing & Packaging

2

© NSS / ECE

Mahendra Engineering College

14.

EC1401 – VLSI Design

What is meant by Epitaxy? Epitaxy means arranging atoms in single crystal fashion upon a single crystal substrate.

15.

What are the processes involved in photo lithography? (1) Masking process (2) Photo etching process. These are important processes involved in photolithography.

16.

What is the purpose of masking in fabrication of IC? Masking is used to identify the location in which Ion-Implantation should not take place.

17.

What lire the materials used for masking? Photo resist, Si02, SiN, Poly Silicon.

18.

What are the types of Photo etching? Wet etching and dry etching are the types of photo etching.

19.

What is diffusion process? What are doping impurities? Diffusion is a process in which impurities are diffused into the Silicon chip at 1000˚C temperature. B203 and P205 are used as impurities used.

20.

What is Ion-Implantation process? It is process in which the Si material is doped with an impurity by making the accelerated impurity atoms to strike the Si layer at high temperature.

21.

What are the various Silicon wafer Preparation? • Crystal growth & doping • Ingot trimming & grinding • Ingot slicing • Wafer polishing & etching • Wafer cleaning.

22.

What are the different types of oxidation? The two types of oxidation are Dry & Wet Oxidation.

3

© NSS / ECE

Mahendra Engineering College

23.

EC1401 – VLSI Design

What is Isolation? It is a process used to provide electrical isolation between different components and interconnections.

24.

Give the different types of CMOS process? • • • •

25.

p-well process n-well process twin-tub process SOI process

What is Channel-stop Implantation? In n-well fabrication, n-well is protected with the resist material. (Because, it should not be affected during Boron implantation). Then Boron is implanted except n-well. The above said process is done using photo resist mask. This type of implantation is known as Channel-stop implantation.

26.

What is LOCOS? LOCOS mean Local Oxidation of Silicon. This is one type of oxide construction.

27.

What is SWAMI? SWAMI means Side Wall Masked Isolation. It is used to reduce bird's beak effect.

28.

What is LDD? LDD means Lightly Doped Drain Structures. It is used for implantation of n- region in n-well process.

29.

What is Twin-tub process? Why it is called so? Twin-tub process is one of the CMOS technologies. Two wells (the other name for well is Tub) are created in this process. So, because of these two tubs, this process is known as Twin-tub process.

30.

What are the steps involved in twin-tub process? • • • • •

Tub Formation Thin-oxide Construction Source & Drain Implantation Contact cut definition Metallization.

4

© NSS / ECE

Mahendra Engineering College

31.

EC1401 – VLSI Design

What are the special features of Twin-tub process? In Twin-tub process, Threshold voltage, body effects of n and p devices are independently optimized.

32.

What are the advantages of Twin-tub process? Advantages of Twin-tub process are (1) Separate optimized wells are available. (2) Balanced performance is obtained for n and p transistors.

33.

What is SOI? What is the material used as Insulator? SOI means Silicon-on-Insulator. In this process, a Silicon based transistor is built on an insulating material like Sapphire or SiO2.

34.

What are the advantages and disadvantages of SOI process? Advantages of SOI process: 1. There is no well formation in this process. 2. There is no field-Inversion problem. 3. There is no body effect problem. Disadvantages of SOI process: 1. It is very difficult to protect inputs in this process. 2. Device gain is low. 3. The coupling capacitance between wires always exists.

35.

What are the advantages of CMOS process? • •

36.

Low Input Impedance Low delay Sensitivity to load.

What are the various etching processes used in SOI process? Various etching processes used in SOI are,

(A. FULLY ANISTROPHIC ETCHING)

5

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

(B. PREFERENTIAL ETCHING)

(C. ISOTROPHIC ETCHING)

37.

What is BiCMOS Technology? It is the combination of Bipolar technology & CMOS technology.

38.

What are the basic processing steps involved in BiCMOS process? Additional masks defining P base region • N Collector area • Buried Sub collector (SCCD) • Processing steps in CMOS process

39.

What is meant by interconnect? What are the types are of interconnect? Interconnect means connection between various components in an IC. Types of Inter connect: 1. Metal Inter connect 2. PolySilicon Inter connect 3. Local Inter connect.

40.

What is Silicide? The combination of Silicon and tantaleum is known as Silicide. It is used as gate material in Polysilicon Interconnect.

6

© NSS / ECE

Mahendra Engineering College

41.

EC1401 – VLSI Design

What is Polycide? The combination of Silicide and Polysilicon is known as Polycide. It is used as gate material.

42.

What is Stick diagram? The diagram which conveys the layer information through the use of various colours is known as Stick diagram. It is also the cartoon of a chip layout.

43.

What are the uses of Stick diagram? • •

44.

Give the various color coding used in stick diagram? • • • • •

45.

It can be drawn much easier and faster than a complex layout. These are especially important tools for layout built from large cells.

Green - n-diffusion Red - polysilicon Blue - metal Yellow - implant Black - contact areas.

Compare between CMOS and bipolar technologies.

• • • • • • • • • •

46.

CMOS Technology Low static power dissipation High input impedance (low drive current) Scalable threshold voltage High noise margin High packing density High delay sensitivity to load (fanout limitations) Low output drive current Low gm (gm α Vin) Bidirectional capability A near ideal switching device

• • • • • • • • •

Bi-polar Technology High power dissipation Low input impedance (high drive current) Low voltage swing logic Low packing density Low delay sensitivity to load High output drive current High gm (gm a eVin) High ft at low current Essentially unidirectional

Define Threshold voltage in CMOS? The Threshold voltage, VT for a MOS transistor can be defined as the voltage applied between the gate and the source of the MOS transistor below which the drain to source current, IDS effectively drops to zero.

7

© NSS / ECE

Mahendra Engineering College

47.

EC1401 – VLSI Design

What is Body effect? The threshold voltage VTh is not a constant with respect to the voltage difference between the substrate and the source of MOS transistor. This effect is called substrate-bias effect or body effect.

48.

What is Channel-length modulation? The current between drain and source terminals is constant and independent of the applied voltage over the terminals. This is not entirely correct. The effective length of the conductive channel is actually modulated by the applied VDS, increasing VDS causes the depletion region at the drain junction to grow, reducing the length of the effective channel.

49.

What is Latch – up? Latch-up is a condition in which the parasitic components give rise to the establishment of low resistance conducting paths between VDD and VSS with disastrous results. Careful control during fabrication is necessary to avoid this problem.

50.

What is demarcation line? Demarcation line is an imaginary line used in stick diagram, to separate p-MOS and n-MOS transistors. All p-MOS transistors are placed above demarcation line and n-MOS below the demarcation line

51.

What are the two types of Layout design rules? Lambda design rules and micron rules are major types of layout design rules.

52.

What is Lay-out design rule? The rules followed to prepare the photo mask are known as Layout design rules.

8

© NSS / ECE

Mahendra Engineering College

53.

EC1401 – VLSI Design

What are LVS and DRL tools? LVS means Layout Versus Schematic. It checks layout against schematic diagram. It is very important to verify layout. DRC means Design Rule Checker. This tool checks every occurrence of design rule list on layout. Width, spacing of every metal line in layout are checked with this tool.

54.

What is instance? What is instancing? To construct big complex circuit, the basic cells (small cells) can be copied. This process is known as Instancing. The cell which is copied is known as Instance.

55.

What is flat cell? The cell which is independent and not related to other objects is known as flat cell.

56.

What are the cells available in primitive library?

NOT, NAND, NOR, are the basic cells in primitive library.

57.

What is Design Hierarchy? When we want to design AND-4 input gate, we use NAND-2 and NOR-2 basic blocks. By combining NAND-2 and NOR-2, we create AND-4 input gate. This is known as Design Hierarchy.

9

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

Unit – II 1.

Draw the symbol of n-MOS and p-MOS.

Three terminals are shown here.

2.

Draw the characteristics graph of enhancement mode of n-MOS.

When Vgs = 0; the device will be cut-off.

3.

Draw the graph of n-MOS depletion mode.

The device will conduct; Even if Vgs = 0.

10

© NSS / ECE

Mahendra Engineering College

4.

EC1401 – VLSI Design

Draw the graph of p-MOS enhancement mode. Vos = gate-to-source voltage Ids = drain-to-source current Vtp = Threshold voltage of a p-MOS transistor If Vgs crosses Vtp; then device will conduct.

5.

Draw the graph of p-MOS depletion mode characteristics. Vos = gate-to-source voltage Ids = drain-to-source current Vtp = Threshold voltage of a p-MOS transistor

Even if Vgs = 0; the device will be conducting.

6.

What are the three modes in an enhancement MOS transistor? The different modes are

7.

a) Accumulation mode b) Inversion Mode c) Depletion Mode

Draw the diagram for Accumulation mode.

11

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

8.

Draw the diagram of depletion mode in MOS Transistor.

9.

How an Inversion layer is formed in MOS Transistor? In Inversion mode, the gate is applied with voltage larger than its threshold voltage (Vgs>Vth).

As the gate voltage is increased, the electrons in the substrate are attracted towards the region below the gate, pushing the holes to other side of the substrate. Thus, a layer of electrons is formed below the gate, which is called as Inversion layer. These electrons constitute the channel for conduction between source and drain.

10.

What are the different regions that can be defined in n-MOS depending upon the voltages applied? Case (1) Cut-off Region : Case (2) Non-Saturated (or) Linear region : Case (3) Saturated Region :

12

Vds = 0. Vds < (Vgs – Vth) Vgs > Vth

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

11.

What is the relationship between mobility and electric field?

12.

What is Impact Ionization? When gate length of MOS transistor is reduced, electric field at the drain of the transistor (in saturation) is increased. In submicron technology, the field is high, so, electrons are imparted with enough energy to become 'hot'. These hot electrons impact the drain, and remove the holes from that place and then swept towards the negatively charged substrate and appear as a substrate current. This is known as 'Impact Ionization'.

13.

Draw the DC transfer characteristics curve to show its various regions.

14.

Draw the graph of Vout Vs Vin for various βn / βp ratio.

13

© NSS / ECE

Mahendra Engineering College

15.

EC1401 – VLSI Design

Define Noise Margin. The parameter which gives the quantitative measure of how stable the inputs are with respect to coupled electromagnetic signal interference. NML = | VIL – VOL | NMH = | VIH – VOH |

16.

What is full-rail output? Logic swing VL is defined as VL = VOH - VOL = VDD, VL = VDD

VL = VDD = full value of power supply. This is known as full rail output.

17.

Define Fall time. The time needed for Vout to fall from 0.9 VDD to 0.1 VDD is known as Fall time.

14

© NSS / ECE

Mahendra Engineering College

18.

EC1401 – VLSI Design

Define Rise Time. The time needed for Vout to rise from 0.1 VDD to 0.9 VDD is known as Rise time.

19.

What is Maximum signal frequency? It is defined as the largest frequency (f) applied to gate and allow the output to settle to a definable state. 1 f = ----------tr + tf where, tr - Rise time tf - fall time f - maximum signal frequency

20.

Define Delay time Delay time, td is the time difference between input transition (50%) and the 50% output level. This is the time taken for a logic transition to pass from input to output.

21.

Define propagation Delay. It is defined as average of two time intervals.

15

© NSS / ECE

Mahendra Engineering College

22.

EC1401 – VLSI Design

What are two components of Power dissipation? There are two components that establish the amount of power dissipated in a CMOS circuit. These are:

i) Static dissipation due to leakage current or other current drawn continuously from the power supply. ii) Dynamic dissipation due to • Switching transient current • Charging and discharging of load capacitances.

23.

What is the formula for power dissipation for CMOS Inverter?

24.

Draw the symbol and switching model of Transmission gate.

16

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

25.

Draw the Symbol of Tri-state Inverter.

26.

What is the fundamental goal in Device modeling? To obtain the functional relationship among the terminal electrical variables of the device that is to be modeled.

27.

Define Short Channel devices? Transistors with Channel length less than 3- 5 microns are termed as Short channel devices. With short channel devices the ratio between the lateral & vertical dimensions are reduced.

28.

What is pull-down device? A device connected so as to pull the output voltage to the lower supply voltage usually 0V is called pull down device.

29.

What is pull-up device? A device connected so as to pull the output voltage to the upper supply voltage usually VDD is called pull up device.

33.

Give the basic inverter circuit.

17

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

Unit – III: 1.

Give some of the important CAD tools. Some of the important CAD tools are: i) Layout editors ii) Design Rule checkers (DRC) iii) Circuit extraction

2.

What is meant by HDL? It means Hardware Description Language. It is used to model digital circuit design.

3.

What is Verilog? Verilog is a general purpose hardware descriptor language. It is similar in syntax to the C programming language. It can be used to model a digital system at many levels of abstraction ranging from the algorithmic level to the switch level.

4.

What are the data types in Verilog? Net type: It represents physical connection between structural elements. Register type: It represents data storage elements.

5.

What is wire in Verilog? It is one of the net type. If S is the output of one gate, and input of the next block; S should be mentioned as wire.

6.

What is the use of parameter? It is a constant used to specify delays and widths of variable. Eg. Parameter BIT = 1, BYTE = 8;

7.

What is Time Register? It is used to store and manipulate time values. Eg. Time current time; // current time holds one time value.

18

© NSS / ECE

Mahendra Engineering College

8.

EC1401 – VLSI Design

How to specify nMOS in Verilog?

Syntax: nMOS n, (o/p, i/p, control).

9.

What is Gate Delay? The signal propagation delay from the gate i/p to the gate o/p is specified by using gate delay. Eg: nand #2 (c, a, b): Here; Rise delay = 2 and fall delay = 2.

10.

What is RTL? RTL means Register-Transfer Modeling.

11.

Give the examples for procedural statement. Loop statement Wait statement Conditional statement Case statement are some of the examples for procedural statement.

12.

What are the types of conditional statements? 1. If statement (with no else) Syntax : if ( [expression] ) true – statement; 2. If Statement (with One else statement) Syntax : if ( [expression] ) true – statement; else false-statement; 3. Nested if-else-if Syntax : if ( [expression1] ) true statement 1; else if ( [expression2] ) true-statement 2; else if ( [expression3] ) true-statement 3; else default-statement; The [expression] is evaluated. If it is true (1 or a non-zero value) true-statement is executed. If it is false (zero) or ambiguous (x), the false-statement is executed.

19

© NSS / ECE

Mahendra Engineering College

13.

EC1401 – VLSI Design

What are the various modeling used in Verilog? 1. Gate-level modeling 2. Data-flow modeling 3. Switch-level modeling 4. Behavioral modeling

14.

What is the structural gate-level modeling? Structural modeling describes a digital logic networks in terms of the components that make up the system. Gate-level modeling is based on using primitive logic gates and specifying how they are wired together.

15.

What is Switch-level modeling? Verilog allows switch-level modeling that is based on the behavior of MOSFETs. Digital circuits at the MOS-transistor level are described using the MOSFET switches.

16.

What are identifiers? Identifiers are names of modules, variables and other objects that we can reference in the design. Identifiers consists of upper and lower case letters, digits 0 through 9, the underscore character(_) and the dollar sign($). It must be a single group of characters. Examples: A014, a, b, in_o, s_out, etc.

17.

What are the value sets in Verilog? Verilog supports four levels for the values needed to describe hardware referred to as value sets. Value levels 0 1 X Z

18.

Condition in hardware circuits Logic zero, false condition Logic one, true condition Unknown logic value High impedance, floating state

What are the types of gate arrays in ASIC? 1) Channeled gate arrays 2) Channel less gate arrays 3) Structured gate arrays

20

© NSS / ECE

Mahendra Engineering College

19.

EC1401 – VLSI Design

Give the classifications of timing control. Methods of timing control: 1. Delay-based timing control 2. Event-based timing control 3. Level-sensitive timing control Types of delay-based timing control: 1. Regular delay control 2. Intra-assignment delay control 3. Zero delay control Types of event-based timing control: 1. Regular event control 2. Named event control 3. Event OR control 4. Level-sensitive timing control

20.

Give the different arithmetic operators. Operator symbol * / + % **

21.

Number of operands Two Two Two Two Two Two

Give the different bitwise operators. Operator symbol ~ & | ^ ^~ or ~^ ~& ~|

22.

Operation performed Multiply Divide Add Subtract Modulus Power (exponent)

Operation performed Bitwise negation Bitwise AND Bitwise OR Bitwise XOR Bitwise XNOR Bitwise NAND Bitwise NOR

Number of operands One Two Two Two Two Two Two

Write the program for OR gate using Dataflow modeling. module or gate (c, a, b) input a; input b; output c; assign c = a/b; end module.

21

© NSS / ECE

Mahendra Engineering College

23.

EC1401 – VLSI Design

What are gate primitives? Verilog supports basic logic gates as predefined primitives. Primitive logic function keyword provide the basics for structural modeling at gate level. These primitives are instantiated like modules except that they are predefined in Verilog and do not need a module definition. The important operations are AND, NAND, OR, XOR, XNOR, and BUF (non-inverting drive buffer).

24.

What are resistive primitives? rnMOS, rpMOS, rcMOS are some of the resistive primitives.

25.

Give the two blocks in behavioral modeling. 1. An initial block  executes once in the simulation and is used to set up initial conditions and step-by-step data flow. 2. An always block  executes in a loop and repeats during the simulation.

26.

Name the types of ports in Verilog Types of port Input port Output port Bidirectional port

27.

Keyword Input Output Input

Initialize port A as input, port b as output, and port c as inout port. module name (a, b, c) input a ; output b; inout c;

28.

What are the types of procedural assignments? 1. Blocking assignment 2. Non-blocking assignment

29.

Give the different symbols for transmission gate.

22

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

Unit – IV: 1.

Give the different types of ASIC. 1. Full custom ASICs 2. Semi-custom ASICs * Standard cell based ASICs * Gate-array based ASICs 3. Programmable ASICs * Programmable Logic Device (PLD) * Field Programmable Gate Array (FPGA).

2.

What is the full custom ASIC design? In a full custom ASIC, an engineer designs some or all of the logic cells, circuits or layout specifically for one ASIC. It makes sense to take this approach only if there are no suitable existing cell libraries available that can be used for the entire design.

3.

What is the standard cell-based ASIC design? A cell-based ASIC (CBIC) uses pre-designed logic cells known as standard cells. The standard cell areas also called fle4xible blocks in a CBIC are built of rows of standard cells. The ASIC designer defines only the placement of standard cells and the interconnect in a CBIC. All the mask layers of a CBIC are customized and are unique to a particular customer.

4.

Differentiate between channeled & channel less gate array. Channeled Gate Array 1. Only interconnect is customized. 2. The interconnect uses predefined spaces between rows of base cells. 3. Routing is done using the spaces. 4. Logic density is less.

5.

Channel less Gate Array Only the top few mask layers are customized. No predefined areas are set aside for routing between cells. Routing is done using the area of transistors unused. Logic density is higher.

What is a FPGA? A field programmable gate array (FPGA) is a programmable logic device that supports implementation of relatively large logic circuits. FPGAs can be used to implement a logic circuit with more than 20,000 gates whereas a CPLD can implement circuits of upto about 20,000 equivalent gates.

23

© NSS / ECE

Mahendra Engineering College

6.

EC1401 – VLSI Design

Give the constituent of I/O cell in 22V10. 2V10 I/O cell consists of 1. a register 2. an output 4:1 mux 3. a tristate buffer 4. a 2:1 input mux It has the following characteristics: * 12 inputs * 10 I/Os * product time 9 10 12 14 16 14 12 10 8 * 24 pins

7.

What are the different methods of programming of PALs? The programming of PALs is done in three main ways: • Fusible links • UV – erasable EPROM • EEPROM (E2PROM) – Electrically Erasable Programmable ROM

8.

What is an antifuse? An antifuse is normally high resistance (>100MW). On application of appropriate programming voltages, the antifuse is changed permanently to a low-resistance structure (200-500W).

9.

What are the different levels of design abstraction at physical design? • Architectural or functional level • Register Transfer-level (RTL) • Logic level • Circuit level

10.

What are macros? The logic cells in a gate-array library are often called macros.

11.

What are Programmable Interconnects? In a PAL, the device is programmed by changing the characteristics if the switching element. An alternative would be to program the routing.

24

© NSS / ECE

Mahendra Engineering College

12.

EC1401 – VLSI Design

Give the steps in ASIC design flow. a. Design entry b. Logic synthesis and System partitioning c. Pre-layout simulation. d. Floor planning e. Placement f. Routing g. Extraction 1. Post layout simulation

13.

Give the XILINX Configurable Logic Block (CLB).

14.

Give the XILINX FPGA architecture.

25

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

Unit – V: 1.

Mention the levels at which testing of a chip can be done? a) At the wafer level b) At the packaged-chip level c) At the board level d) At the system level e) In the field

2.

What are the categories of testing? a) Functionality tests b) Manufacturing tests

3.

Write notes on functionality tests? Functionality tests verify that the chip performs its intended function. These tests assert that all the gates in the chip, acting in concert, achieve a desired function. These tests are usually used early in the design cycle to verify the functionality of the circuit.

4.

Write notes on manufacturing tests? Manufacturing tests verify that every gate and register in the chip functions correctly. These tests are used after the chip is manufactured to verify that the silicon is intact.

5.

Mention the defects that occur in a chip? a) layer-to-layer shorts b) discontinous wires c) thin-oxide shorts to substrate or well

6.

Give some circuit maladies to overcome the defects? i. nodes shorted to power or ground ii. nodes shorted to each other iii. inputs floating/outputs disconnected

7.

What are the tests for I/O integrity? i. I/O level test ii. Speed test iii. IDD test

26

© NSS / ECE

Mahendra Engineering College

8.

EC1401 – VLSI Design

What is meant by fault models? Fault model is a model for how faults occur and their impact on circuits.

9.

Give some examples of fault models? i. Stuck-At Faults ii. Short-Circuit and Open-Circuit Faults

10.

What is stuck–at fault? With this model, a faulty gate input is modeled as a “stuck at zero” or “stuck at one”. These faults most frequently occur due to thin-oxide shorts or metal-tometal shorts.

11.

What is meant by observability? The observability of a particular internal circuit node is the degree to which one can observe that node at the outputs of an integrated circuit.

12.

What is meant by controllability? The controllability of an internal circuit node within a chip is a measure of the ease of setting the node to a 1 or 0 state.

13.

What is known as percentage-fault coverage? The total number of nodes that, when set to 1 or 0, do result in the detection of the fault, divided by the total number of nodes in the circuit, is called the percentagefault coverage.

14.

What is fault grading? Fault grading consists of two steps. First, the node to be faulted is selected. A simulation is run with no faults inserted, and the results of this simulation are saved. Each node or line to be faulted is set to 0 and then 1 and the test vector set is applied. If and when a discrepancy is detected between the faulted circuit response and the good circuit response, the fault is said to be detected and the simulation is stopped.

15.

Mention the ideas to increase the speed of fault simulation? a. parallel simulation b. concurrent simulation

27

© NSS / ECE

Mahendra Engineering College

16.

EC1401 – VLSI Design

What is fault sampling? An approach to fault analysis is known as fault sampling. This is used in circuits where it is impossible to fault every node in the circuit. Nodes are randomly selected and faulted. The resulting fault detection rate may be statistically inferred from the number of faults that are detected in the fault set and the size of the set. The randomly selected faults are unbiased. It will determine whether the fault coverage exceeds a desired level.

17.

What are the approaches in design for testability? a. ad hoc testing b. scan-based approaches c. self-test and built-in testing

18.

Mention the common techniques involved in ad hoc testing? a. partitioning large sequential circuits b. adding test points c. adding multiplexers d. providing for easy state reset

19.

What are the scan-based test techniques? a) Level sensitive scan design b) Serial scan c) Partial serial scan d) Parallel scan

20.

What are the two tenets in LSSD? a. The circuit is level-sensitive. b. Each register may be converted to a serial shift register.

21.

What are the self-test techniques? a. Signature analysis and BILBO b. Memory self-test c. Iterative logic array testing

22.

What is known as BILBO? Signature analysis can be merged with the scan technique to create a structure known as BILBO (Built In Logic Block Observation).

28

© NSS / ECE

Mahendra Engineering College

23.

EC1401 – VLSI Design

What is known as IDDQ testing? A popular method of testing for bridging faults is called IDDQ or current supply monitoring. This relies on the fact that when a complementary CMOS logic gate is not switching, it draws no DC current. When a bridging fault occurs, for some combination of input conditions a measurable DC IDD will flow.

24.

What are the applications of chip level test techniques? a. Regular logic arrays b. Memories c. Random logic

25.

What is Boundary scan? The increasing complexity of boards and the movement to technologies like multichip modules and surface-mount technologies resulted in system designers agreeing on a unified scan-based methodology for testing chips at the board. This is called Boundary scan.

26.

What is the Test Access Port? The Test Access Port (TAP) is a definition of the interface that needs to be included in an IC to make it capable of being included in a boundary-scan architecture. The port has four or five single bit connections, as follows: • TCK(The Test Clock Input) • TMS(The Test Mode Select) • TDI(The Test Data Input) • TDO(The Test Data Output) It also has an optional signal • TRST*(The Test Reset Signal)

27.

What are the contents of the test architecture? The test architecture consists of: • The TAP interface pins • A set of test-data registers • An instruction register • A TAP controller

28.

What is the TAP controller? The TAP controller is a 16-state FSM that proceeds from state to state based on the TCK and TMS signals. It provides signals that control the test data registers, and the instruction register. These include serial-shift clocks and update clocks.

29

© NSS / ECE

Mahendra Engineering College

29.

EC1401 – VLSI Design

What is known as test data register? The test-data registers are used to set the inputs of modules to be tested, and to collect the results of running tests.

30.

What is known as boundary scan register? The boundary scan register is a special case of a data register. It allows circuitboard interconnections to be tested, external components tested, and the state of chip digital I/Os to be sampled.

*************** X ***************

30

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

BIG QUESTIONS & ANSWERS 1.

Derive the CMOS inverter DC characteristics and obtain the relationship for output voltage at different region in the transfer characteristics. Explanation (2) Diagram (2) CMOS inverter (2) DC characteristics (5) Transfer characteristics (5)

2.

Explain with neat diagrams the various CMOS fabrication technology P-well process (4) N-well process (4) Silicon-On-Insulator Process (4) Twin- tub Process (4)

3.

Explain the latch up prevention techniques. Definition (2) Explanation (2) Diagram (2)

4.

Explain the operation of PMOS Enhancement transistor Explanation (2) Diagram (2) Operation (4)

5.

Explain the threshold voltage equation Definition (2) Explanation (2) Derivation (4)

6.

Explain the silicon semiconductor fabrication process. Silicon wafer Preparation (2) Epitaxial Growth (2) Oxidation (2) Photolithography (2) Diffusion(2) Ion Implantation (2) Isolation technique (2) Metallization (1) Assembly processing & Packaging (1)

7.

Explain various CAD tool sets. Layout editors (4) Design Rule checkers (DRC) (4) Circuit extraction (4)

31

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

8.

Explain the operation of NMOS Enhancement transistor. Explanation (2) Diagram (2) Operation (4)

9.

Explain the Transmission gate and the tristate inverter briefly. Explanation (2) Diagram (2) Operation (4)

10.

Explain about the various non ideal conditions in MOS device model. Explanation (2) Diagram (2) Operation (4)

11.

Explain the design hierarchies. Explanation (2) Diagram (2) Concept (2)

12.

Explain the concept involved in Timing control in VERILOG. Explanation (2) Diagram (2) Delay-based timing control (4) Event-based timing control (4) Level-sensitive timing control (4)

13.

Explain with neat diagrams the Multiplexer and latches using transmission Gate. Explanation (2) Diagram (2) Multiplexer (4) latches(4)

14.

Explain the concept of gate delay in VERILOG with example Explanation (2) Diagram (2) Concept (2)

15.

Explain the concept of MOSFET as switches and also bring the various logic gates using the switching concept. Explanation (2) Diagram (2) Gate Concepts (4)

32

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

16.

Explain the concept involved in structural gate level modeling and also give the description for Half adder and Full adder. Explanation (2) Diagram (2) Gate Concepts (6) Half adder (3) Full adder (3)

17.

What is ASIC? Explain the types of ASIC. Definition (2) Types (2) Full custom ASICs (4) Semi-custom ASICs(4) Programmable ASICs(4)

18.

Explain the VLSI design flow with a neat diagram Explanation (2) Flow Diagram (2) Concepts (4)

19.

Explain the concept of MOSFET as switches Explanation (2) Diagram (2) Concepts (4)

20.

Explain the ASIC design flow with a neat diagram Design entry (2) Logic synthesis and System partitioning (2) Pre-layout simulation. (2) Floor planning (2) Placement (2) Routing (2) Extraction (2) Post layout simulation (2)

21. a) Explain fault models. Stuck-At Faults Definition (2) Diagram (2) Short-circuit and Open-circuit faults Definition (2) Diagram (2) b) Explain ATPG. Definition (2) Truth tables (2) Five valued logic (2) Testability measures (2)

33

© NSS / ECE

Mahendra Engineering College

EC1401 – VLSI Design

22.

Briefly explain a) Fault grading & fault simulation Fault grading (2) Fault simulation (2) b) Delay fault testing Diagram (2) Description (2) c) Statistical fault analysis Definition (1) Statistics (3) d) Fault sampling (4)

23.

Explain scan-based test techniques. Level sensitive scan design (4) Serial scan (4) Partial serial scan (4) Parallel scan (4)

24.

Explain Ad-Hoc testing and chip level test techniques. Ad-Hoc testing Parallel-load feature (2) Test signal block (2) Use of the bus (2) Use of multiplexer (2) Chip level test techniques Definition (2) Regular logic arrays (2) Memories (2) Random logic (2)

25.

Explain self-test techniques and IDDQ testing. Signature analysis and BILBO (6) Memory-self test (4) Iterative logic array testing (3) IDDQ testing (3)

26.

Explain system-level test techniques. Boundary scan – definition (2) The Test Access Port (2) The Test Architecture (2) The TAP Controller (3) The Instruction Register (2) Test-Data Registers (2) Boundary Scan Registers (3)

34

© NSS / ECE

View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF