Cleaning of Silicon Wafers

December 9, 2016 | Author: Manas Kapur | Category: N/A
Share Embed Donate


Short Description

Download Cleaning of Silicon Wafers...

Description

Cleaning of Silicon Wafers Introduction This report is dedicated to one of the most important tasks in semiconductor industrycleaning and preparation of the silicone surface for the further processing. The main goal is to remove the contaminants from the wafer surface and to control chemically grown oxide on the wafer surface. Modern integrated electronics would not be possible without unless the technologies for leaning and contamination control would have been developed, and further reduction of the contamination level of the silicone wafer is mandatory for the further reduction of the IC element dimensions. Wafer cleaning is the most frequently repeated step in IC manufacturing and is one of the most important segment in the semiconductor-equipment business, and it looks as if it will remain that way for some time. Each time device-feature sizes shrink or new tools and materials enter the fabrication process, the task of cleaning gets more complicated. Today, at 0.18-micron design rules, 80 out of ~400 total steps will be cleaning. While the number of cleans increases, the requirement levels are also increasing for impurity concentrations, particle size and quantity, water and chemical usage and the amount of surface roughness for critical gate cleans. Not only is wafer cleaning needed now before each new process sequence, but additional steps are often required to clean up the fabrication process tools after a production run. Traditionally, cleaning has been concentrated in the front end of the line (FEOL) where active devices are exposed and more detailed cleans required. A primary challenge in FEOL cleans is the continuous reduction in the defect levels. As a rule, a "killer defect" is less than half the size of the device linewidth. For example, at 0.25 µm geometries, cleans must remove particles smaller than 0.12 µm and at 0.18 µm, 0.09 µm particles. Table 1. Particle Addition limits

Generation

Particle size

60% yield

80%yield

90% yield

95% yield

250 nm

125 nm

9.6

3.9

1.8

0.86

180 nm

90 nm

4.8

1.95

0.9

0.43

130 nm

65 nm

2.24

0.91

0.42

0.20

100 nm

50 nm

0.96

0.39

0.18

0.086

The issue is that smaller particles are physically more difficult to remove, because it is harder to deliver the necessary force to inuscule dimensions. Thus more energy is

required to remove smaller particles. The back end of line (BEOL) has multiple metal layers requiring more specific cleans, such as removing particles and complex organic materials. However, the progression from 0.25 µm to .13 µm design rules has meant the addition of new metal layers. In general, every metal added would introduce three to five BEOL leans depending on the process. With seven metal layers at 0.18 µm, the number of BEOL cleans is not only comparable to but is beginning to exceed the number of frontend cleans.

Figure 1. Typical IC manufacturing process flow

Types and sources of contamination Particles- dust, pollen, clothing particles, bacteria, etc. In ordinary room there are as much as 10 6 particles more then 0.5 micron in diameter per cu.ft. Particles with diameter more then 20 micron will settle down readily. Particles of diameter from 0.1 to 20 micron are the main problem. Inorganic contaminants-salts, positive and negative ions in solution, heavy metal atoms. Inorganics are removed by cleaning the wafer in water recirculation systems and using special solutions. Organic contaminants- smog, skin oil, fluxes, lubricants, solvent vapors, monomers from plastic tubing and storage boxes that can condense on substrate. They usually removed using strong oxidizers, gaseous or liquid. Impurities- incorporated during the formation of substrates or overlayer films. Generally, they cannot be removed.

Figure 2. Contamination on the silicon surface

Methods /solutions/techniques Most cleaning methods can be loosely divided into two big groups: wet and dry methods. Liquid chemical cleaning processes are generally referred to as wet cleaning. They rely on combination of solvents, acids and water to spray, scrub, etch and dissolve contaminants from wafer surface. Dry cleaning processes use gas phase chemistry, and rely on chemical reactions required for wafer cleaning, as well as other techniques such as laser, aerosols and ozonated chemistries. Generally , dry cleaning technologies use less chemicals and less hazardous for environment but usually do not perform as well as wet methods, especially for particle removal.

Wet-chemical cleaning methods. Although no single procedure is perfect for all process steps, typical wet cleaning sequence includes [2]: 1 . Sulfuric acid/hydrogen peroxide/ deionized water (SPM, H2SO4/H2O2/H2O@110130 degrees C ) . SPM usually used to remove organic contaminations (often called "piranha clean"). 2. Hydrofluoric acid or diluted hydrofluoric acid (HF or DHF @ 20-25 degrees C). It removes oxides from area of interest, etches silicone oxides and dioxides, and reduces metals contamination of the surface. Sometimes buffered oxide etch,(BOE or BHF,/ NH4/HF/H2O @60-80degrees C ) is used in place of DHF in some processes, but exposure to it can lead to NH4F precipitation and contamination. 3. Ammonium hydroxide/ hydrogen peroxide/ DI water mixture (APM, NH4OH/H2O2/H2O@60-80degrees C ). APM oxidizes and slightly etches to undercut and remove particles from the surface; it also removes organic and metal contaminants . 4. Hydrochloric acid/hydrogen peroxide/DI water (HPM, HCL/H2O2/H2O@6080degrees C ) HPM removes metallic contaminants from silicone substrate and acts as oxidizing agent. 5. Ultra-pure water (UPW) . Commonly called as DI water, it dilutes chemicals, and rinses solutions after chemical cleans. Table 2 lists the most commonly used wet cleaning methods for removal of each type of contaminants.

Table 2.

Particles

Organic

Metals

Native/chemical oxides

APM

SPM

SPM

DHF

APM

HPM

BHF

DHF

The RCA clean [2] sequence developed by Werner Kern in the 1960s still is used widely in semiconductor manufacturing as a critical clean for the removal of organic, metallic and particulate contamination on wafer surfaces prior to oxide growth operations. The typical sequence starts with SPM for heavy organic removal, followed by dip in the DHF. So-called Standard Clean 1 (SC-1) uses APM to remove particles and Standard Clean 2(SC-2) uses HPM to remove metal contaminations. High pH SC-1 is an effective particulate removal chemistry, aided by the high negative zeta potential of both silicon and oxide in this pH range. SC-2 is effective at removing metallic contamination with a pH low enough to ensure good metal oxide solubility and with the chlorideion acting as a complexing agent. Typical composition of the traditional SC-1 is chemical ratio of (1:1:5, NH4OH:H2O2:H2O) at 60°C. Sometimes megasonic energy is used to increase particle removal efficiency. Similarly,typical chemical ratio for SC-2 is (1:1:6, HCl:H2O2:H2O) at 85°C. The composition and order of steps can vary but all wafers are rinsed in UPW after each chemical immersion. Last few years brought few changes, but the basic cleaning philosophy used in most fabrication processes still based on the original RCA process.

Advances in wet cleaning Wet cleaning account for an important part of a total chemical consumption, and relatively small improvement in chemical usage has a large overall effect. In view of large volume of water and chemical used, an optimization of wet cleaning steps is urgently needed. The new environment-friendly technologies move toward the reduction of chemicals use, recycling of water and development of improved dry processing techniques to assist wet cleaning. Mechanical cleaning technologies such as centrifugal spraying, water jets, brush scrubbing [10] , pressurized fluids and cryogenic ("frozen") particles jets [12,19]. The number of cleaning and rinsing steps has also decreased by using so-called Marangoni technique. The Marangoni principle involves the slow withdrawal of wafers from a DI water bath to an environment of isopropyl alcohol (IPA)

and nitrogen such that only the portion of the surface that is at the interface of the liquid and vapor phases is "drying" at any one time. In this way, uncontrolled evaporative drying on the wafer is prevented. IPA drying provides a great advantage in hydrophobic cleaning steps such as pre-gate, pre-silicide and pre-contact cleans. Many advances are based on the use of the diluted chemistries and ozonated UPW as a replacement of hydrogen peroxide or even sulphuric-based mixtures.

Megasonic Megasonic agitation [ 1,11] is the most widely used approach to adding energy (at about 800kHz and 100,000g) to the wet cleaning process. The physics behind how particles are removed however, is not well understood. A combination of an induced flow in the cleaning solution (called acoustic streaming), cavitation, the level of dissolved gases and oscillatory effects are all thought to contribute to particle removal performance. Despite the familiarity of megasonics, there is still no common opinion of whether or not it can make damage to the wafer . If it is true, this may prove to be a critical limitation for the removal of particles smaller than 0.1 µm [25]. To address this potential shortcoming associated with the megasonic process, a new technique has been developed by ProSys (Campbell, Calif.) [9,21]. which uses acoustic wave action from a piezoelectric crystal to cleanse wafers in fluid by producing a controlled cavitation - the formation and activity of bubbles. By exciting ceramic piezoelectric crystals with a high-frequency AC voltage, the resulting vibrations generate an acoustic wave that provides the cleaning mechanism. As many as 16 piezoelectric crystals can be switched on and off in sequence, delivering pulsed megasonic energy up to 100 Hz.

Diluted chemistries. The development of dilute cleaning chemistries has peaked industry interest. As market competitiveness increases, chemical consumption and associated costs become increasingly important. Dilute (1:1:50) ammonium hydroxide (NH4OH)/hydrogen peroxide chemistries (SC-1) have the advantage of reduced oxide loss while preserving excellent particle removal performance. Similarly, many studies have shown that highly dilute (1:1:60) hydrochloric acid/hydrogen peroxide (HCl/H2O2) mixtures (SC-2) are effective at controlling metallic contamination. [3,4,5]. With implementation of megasonic cleaning, diluted SC-1,SC-2 and HF, and opitimized timing of various cleaning steps, this can lead to 4-50 times reduction in chemicals consumption.

Another important issue is a reduction in surface roughness Fig.3 AFM photo of the silicone after standard RCA and diluted that a standard wet cleaning . an rms

also can be seen when using dilute chemistries. Atomic force microscopy (AFM) studies indicate SC-1,1:1:5 ratio clean at temperatures >65 deg.C has roughness of >1.5 Å (Fig. 2). With 50:1 dilute

chemistries and temperatures
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF