AV NEW

November 11, 2017 | Author: knarrenheinzv | Category: N/A
Share Embed Donate


Short Description

Download AV NEW...

Description

Elektrotehnički fakultet Osijek Zavod za automatiku i procesno računarstvo

DIGITALNA ELEKTRONIKA AUDITORNE VJEŽBE Autor: Mladen Antunović, asistent Preddiplomski studij računarstva Preddiplomski studij elektrotehnike, blok III-2 III semestar 2006/2007

Uvjeti korištenja Digitalna elektronika je redovni predmet preddiplomske nastave Elektrotehničkog fakulteta u Osijeku. Svi potrebni materijali za savladavanje gradiva dostupni su u elektroničkom obliku. Materijali se mogu koristiti u elektroničkom obliku ili ispisani na papir. Smiju se kopirati na vlastito računalo radi korištenja, bez priključka na mrežu. Materijale mogu koristiti studenti Elektrotehničkog fakulteta Sveučilišta J.J. Strossmayera u Osijeku u svrhu osobnog obrazovanja. Materijali se ne smiju umnažati niti davati trećim osobama. Ne smiju se koristiti u komercijalne svrhe ili njihovim korištenjem na bilo koji način ostvarivati novčana korist. Materijali se ne smiju koristiti za podučavanje drugih bez prethodne suglasnosti autora. Autori će biti zahvalni za svaki prijedlog ili primjedbu vezanu uz materijale, predmet i izvođenje nastave. Sadržaj ovih stranica, kao tekst, slike, logotipovi i ostalo su u vlasništvu Zavoda za automatizaciju i procesno računarstvo Elektrotehničkog fakulteta u Osijeku ili njegovih djelatnika i kao takvi su zaštićeni zakonom. 2005.-2008. Zavod za automatizaciju i procesno računarstvo. Sva prava pridržana.

AUDITORNE VJEŽBE 1 IZVEDBA DIGITALNIH SKLOPOVA POLUVODIČKIM KOMPONENTAMA

DIODA KAO SKLOPKA (podsjetnik) iD -

uD

+

Dioda nepropusno polarizirana

iD

Dioda propusno polarizirana

≥ Kroz diodu koja radi kao sklopka struja može teći samo u jednom smjeru, od anode (A) prema katodi (K) i to samo ako je dioda propusno polarizirana (uD>0)

≤ uD

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V 4 mogućnosti ovisno o naponima UA i UB: 1)obje diode D1 i D2 nepropusno polarizirane 2)D1 propusno, D2 nepropusno polarizirana 3)D1 nepropusno, D2 propusno polarizirana 4)D1 i D2 propusno polarizirane 1

a) UA = UB = 5 V UY = ?

2

UY = ?

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V a) UA = UB = 5 V;

UY = ?

Pretpostavka: obje diode D1 i D2 propusno polarizirane

KZN: 5V – 4.7kΩ·i – 0.7V – 5V = 0 i = - 0.15 mA iD1=iD2=i/2=-0.075mA

1

iD1 < 0 ; uD1 > 0 iD2 < 0 ; uD2 > 0

iD2 i

NIJE MOGUĆE Pretpostavka je pogrešna! Ispravna pretpostavka: diode D1 i D2 su nepropusno polarizirane

2

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V a) UA = UB = 5 V;

UY = ?

D1 i D2 nepropusno polarizirane

iD1 = iD2 = 0 mA KZN1: 5V – 0 – uD1 – 5V = 0 uD1 = 0 V ≤ 0

i 1

KZN2: 5V – 0 – uD2 – 5V = 0 uD2 = 0 V ≤ 0 UY = uD2 + 5 V UY = 5 V

2

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V b) UA = 0 V; UB = 5 V; UY = ? D1 propusno, D2 nepropusno polarizirana

uD1 = 0.7 V; iD2 = 0 mA KZN1: 5V – 4.7kΩ·i – 0.7V = 0 iD1 = i = 0.915 mA ≥ 0 KZN2: 5V–4.7kΩ·i –uD2–5V=0 uD2 = - 4.3 V ≤ 0 UY = uD2 + 5 V UY = 0.7 V

iD1

1

2

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V c) UA = 0 V; UB = 0 V; UY = ? D1 i D2 propusno polarizirane

uD1 = 0.7 V; uD2 = 0.7 V KZN: 5V – 4.7kΩ· (iD1+iD2 ) – 0.7V = 0 iD1 = iD2

iD1

1

iD2

2

iD1 = iD2 = 0.457 mA iD1 ≥ 0; iD2 ≥ 0 UY = uD2 UY = 0.7 V

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V d) UA = 5 V; UB = 0 V; UY = ? D1 nepropusno, D2 propusno polarizirana

iD1 = 0 mA; uD2 = 0.7 V KZN2: 5V – 4.7kΩ·i – 0.7V = 0 iD1 = i = 0.915 mA ≥ 0 1

KZN1: 5V–4.7kΩ·i–uD1–5V =0 uD1 = - 4.3 V ≤ 0 UY = uD2 UY = 0.7 V

iD2

2

Zad1. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V napon

5V

0V

c) b) d) a)

UA 0V 0V 5V 5V

logički “1” zabranjeno područje

logički “0”

UB 0V 5V 0V 5V

UY 0.7V 0.7V 0.7V 5V

UY UA UB 0V 0.7V 5V

Y A B “0” “0” “1”

A 0 0 1 1

B 0 1 0 1

Y 0 0 0 1

I logička funkcija A B

Y = A·B

Y

Zad2. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V a) UA = UB = 5 V; D1 i D2 propusno polarizirane

iD1

uD1 = 0.7 V; uD2 = 0.7 V 5V – 4.7kΩ·i – 0.7V = 0 i = 0.915 mA; iD1 = iD2 = i/2 = 0.457 mA

iD2

UY = 4.7kΩ·i = 4.3 V b) UA = UB = 0 V; D1 i D2 nepropusno polarizirane

iD1 = 0 mA; iD2 = 0 mA i = 0 mA; uD1 = uD2 = 0 V UY = 4.7kΩ·i = 0 V

c) UA = 0V ; UB = 5 V; D1 nepropusno, D2 propusno pol.

iD1 = 0 mA; uD2 = 0.7 V iD2 = i = 0.915 mA; UY = 4.3 V; uD1 = -UY = -4.3 V

Zad2. Analizirati rad sklopa na slici i odrediti napon UY za sve kombinacije napona UA i UB od 0 i 5 V d) UA = 5V ; UB = 0 V;

iD1

D1 propusno, D2 nepropusno pol.

iD2 = 0 mA; uD1 = 0.7 V iD1 = i = 0.915 mA; UY = 4.3 V; uD2 = -UY = -4.3 V

b) c) d) a)

UA 0V 0V 5V 5V

UB 0V 5V 0V 5V

UY 0V 4.3V 4.3V 4.3V

“0” “1” “1” “1”

iD2

A 0 0 1 1

B 0 1 0 1

Y 0 1 1 1

ILI logička funkcija A B

Y = A+B

Y

B.T. u spoju zajedničkog emitera

NORMALNO AKTIVNO PODRUČJE

ZASIĆENJE

Bipolarni tranzistor (podsjetnik)

PODRUČJE ZAPIRANJA Ulazne karakteristike biplolarnog tranzistora u spoju zajedničkog emitera

B.T. u spoju Z.E. Zapiranje: IB = -ICB0 UBE hFE UCE = UCEzas UBE = UBEzas

IB

RBIB UBE

KZN1: 10 – RC IC – UCEzas = 0 KZN2: UBEzas + RB IB – Uul = 0 RC = 539 Ω; RB < 59.7 kΩ;

RCIC IC UCE

10 - UCEzas RC = IC Uul - UBEzas RB = IB

Zad4. Provjeriti da li sklop na slici obavlja logičku funkciju NE ako je donja granica logičke jedinice 4V, a gornja granica logičke nule 0.3 V. hFE = 50 UCEzas= 0.3 V UBEzas= 0.8 V UCC = 5 V UBB = 5 V RC = 1 kΩ R1 = 10 kΩ R2 = 100 kΩ Osnovni uvjet koji mora biti ispunjen da bi sklop obavljao funkciju NE je da pri Uul = 4V tranzistor bude u zasićenju, a pri Uul = 0.3V u zapiranju.

RC Uiz

R1 I I 1 B R1I1 I2 UBE R 2I 2 Uul

UBB

RCIC IC UCE UCC

Zad4. Provjera zasićenja Nadomjesna shema sklopa ako je tranzistor u zasićenju: Uul = 4V

Ulazni krug

Izlazni krug

KZS: IB = I1 + I2 = UUL - UBEzas + -UBB - UBEzas = 0.268 mA R1 R2 -UBB - UBEzas IB > IC KZN1: UBEzas + R2 I2 + UBB = 0 I2 = hFE R2 T. u zas. Uul - UBEzas UCE = UCEzas KZN2: UBEzas + R1 I1 - Uul = 0 I1 = R1 UCE = 0.3 V UCC - UCEzas KZN3: RC IC + UCEzas = UCC IC = = 4.7 mA RC

Zad4. Provjera zapiranja Nadomjesna shema sklopa ako je tranzistor u zapiranju: Uul = 0.3V

R1 I 1 R1I1 I2

Uul

UBB

IB= ICB0 R2I2 UBE

Ulazni krug

KZS: IB = I1 + I2 = -ICB0 KZN1: UBE + R2 I2 + UBB = 0 KZN2: Uul - R1 I1 = -UBB - R2 I2 KZN3: RC IC + UCE = UCC

ICB0 Izlazni krug

R2 (UBB +Uul+R1ICB0 )–UBB UBE= R1 + R2 Uul UCE UBE= -0.18 V < 0.6 V 4 V 0.3V tranzistor u zapiranju 0.3V 5V

UCE = UCC - RC ICB0 = 5 V

Uul UCE “1” “0” “0” “1”

Zad5. Sklop prema slici dobiva pobudu sa izlaza jednakih takvih sklopova. Izračunati sve struje i napone. Koju logičku funkciju obavlja prikazani sklop? Poznato je: hFE = 50, UCC = 6 V, UCEzas= 0.3 V, UBEzas= 0.8 V, RC = 1 kΩ, RB1 = 4 kΩ, RB2 = 4 kΩ.

Pretpostavke: UA i UB: a) Ako je A=B=“1” → T1 i T2 u zasićenju → UY = 2UCEzas = 0.6 V b) A=“0”, B=“1” → T2 u zapiranju → IC2 = 0 mA → UY ≈ UCC = 6 V c) A=“1”, B=“0” → T1 u zapiranju → IC1 = 0 mA → UY ≈ UCC = 6 V d) A=B=“0” → T1 i T2 u zapiranju → IC =IC1=IC2 = 0 mA → UY ≈ UCC = 6 V UC RB3

NI sklop

UD RB4

+UCC RC UY

UA RB2 2

+UCC RC 3 4

UB RB1 1

KZN1: UCC = (RC+RB)IB2 +UBEzas +UCEzas KZN2: UCC = (RC+RB)IB1 + UBEzas KZN3: UCC = RCIC2 +UCEzas +UCEzas

IB2 =

UCC -UBEzas = 1.04 mA RB+RC U -2UCEzas IC2 = CC = 5.4 mA RC

IB1 =

KZS: IC1 = IE2 = IB2 +IC2 = 6.38 mA

+UCC

Provjera da li je T2 u zas. ?

IB2 >

IC2 hFE

Provjera: T1 u zas. ?

IC1 IB1 > hFE

RC

UE RB5 UF RB6

a) A=B=“1” A=“1”→T5/T6 u zap.→IC5=0mA B=“1”→T3/T4 u zap.→IC3=0mA T2 u zas.→UBE2=UBEzas , UCEzas T1 u zas.→UBE1=UBEzas , UCEzas

UC UD

UCC -UBEzas -UCEzas = 0.98 mA RB+RC

+UCC RC IC

RB2 IB2 A IB2 T2 T5 0mA UBEzas +UCC T6 R C IB1 B RB1 IB1 T1 T3 0mA RB3 UBEzas T4 RB4

UY IC2

UCEzas IE2 IC1 UCEzas

KZN1: 2UCEzas – UBE2 – UCEzas = 0 KZN2: UCC = (RC+RB)IB1 + UBEzas

UBE2 = UCEzas = 0.3 V IB1 =

KZS: IC1 = IE2 = IB2 +IC2 = 0 mA

UCC -UBEzas = 1.04 mA RB+RC RC

+UCC Provjera: T2 u zap. ?

UBE2 < 0.6

UE RB5 Provjera: T1 u zas. ?

IC1 IB1 > hFE

UF RB6 UC

b) A =“0”, B=“1”

UD

IC

RC T2 RB2 A IC5 0mA T5 UBE2 UCEzas +UCC T6 R C IB1 B RB1 IB1 T1 UCEzas T3 0mA RB3 UBEzas T4 RB4

A=“0”→T5 i T6 u zas.→UA=2UCEzas A=“0”→T2 u zap.→IB2=IC2=0mA B=“1”→T3/T4 u zap.→IC3=0mA B=“1”→T1 u zas.→ UBE2= UBEzas ; UCE2= UCEzas

+UCC

UY 0mA

UCE2 IE2 IC1 UCEzas

AUDITORNE VJEŽBE 2 BROJEVNI SUSTAVI, KODOVI, OSNOVNI LOGIČKI SKLOPOVI I BOOLEOVA ALGEBRA

BROJEVNI SUSTAVI Heksadecimalni

Dekadski

Oktalni

Binarni

n=16

n=10

n=8

n=2

0

0

0

0

1

1

1

1

2

2

2

10

3

3

3

11

4

4

4

100

5

5

5

101

6

6

6

110

7

7

7

111

8

8

10

1000

9

9

11

1001

A

10

12

1010

B

11

13

1011

C

12

14

1100

D

13

15

1101

E

14

16

1110

F

15

17

1111

DEC

BIN

Zad1. Pretvoriti broj 87 iz dekadskog brojevnog sustava u binarni Provjera: 6 5 4 3 2 1 0 ostatak 1010111 1 87:2 = 43 0 1·2 = 1 1 43:2 = 21 1 1·2 = 2 1 21:2 = 10 2 1·2 = 4 0 10:2 = 5 3 0·2 = 0 1 5:2 = 2 4 1·2 = 16 0 2:2 = 1 5 0·2 = 0 1 1:2 = 0 1·26 = 64 + 8710 = 10101112 = 87

razlomljeni DEC

BIN

Zad2. Pretvoriti broj 5.625 iz dekadskog brojevnog sustava u binarni ostatak/višak 1 5:2 = 2 0 2:2 = 1 1 1:2 = 0 0.625·2 = 1.25 1 0.25 · 2 = 0.5 0 0.5 · 2 = 1.00 1 5.62510= 101.1012

Provjera: 2 1 0 -1 -2 -3

101.101 1·20 = 1 0·21 = 0 1·22 = 4 1·2-1 = 0.5 0·2-2 = 0 1·2-3 = 0.125 +

= 5.625

DEC

HEX

Zad3. Pretvoriti broj 45 iz dekadskog brojevnog sustava u heksadecimalni 45:16 = 2 2:16 = 0 4510= 2D16

ostatak 13 D 2 2

Provjera: 13·160 = 13 2·161 = 32 +

= 45

razlomljeni DEC

HEX

Zad4. Pretvoriti broj 250.25 iz dekadskog brojevnog sustava u heksadecimalni ostatak/višak 10 A 250:16 = 15 15 F 15:16 = 0 0.25 · 16 = 4.00 4 4 250.2510 = FA.416

Provjera: 10·160 = 10 15·161 = 240 4·16 -1 = 0.25 +

= 250.25

HEX

BIN

Zad5. Pretvoriti broj 3B7 iz heksadecimalnog sustava u binarni 3B7 11 1011 0111 3B716 = 11101101112

razlomljeni HEX

BIN

Zad6. Pretvoriti broj 47.FE iz heksadecimalnog brojevnog sustava u binarni 47.FE 100 0111 . 1111 1110 47.FE16 = 1000111.111111102

BIN

HEX

Zad7. Pretvoriti broj 101010000101 iz binarnog sustava u heksadecimalni 101010000101 A85 1010100001012 = A8516

DEC

OCT

Zad8. Pretvoriti broj 1016 iz dekadskog brojevnog sustava u oktalni ostatak 1016:8 = 127 0 7 127:8 = 15 7 15:8 = 1 1 1:8 = 0 101610 = 17708

Provjera: 0·80 = 0 7·81 = 56 7·82 = 448 1·83 = 512 +

= 1016

OCT

DEC

Zad9. Pretvoriti broj 2763 iz oktalnog brojevnog sustava u dekadski 3 2 1 0

27638 = ?10 2· 83 = 1024 7· 82 = 448 6· 81 = 48 3 3· 80 = 27638 = 152310

HEX

OCT

Zad10. Pretvoriti broj 6306 iz heksadecimalnog brojevnog sustava u oktalni HEX

BIN

OCT

6306 0110 0011 0000 0110 61406 630616 = 614068

ZBRAJANJE I ODUZIMANJE U BINARNOM BROJEVNOM SUSTAVU ZBRAJANJE U BINARNOM BROJEVNOM SUSTAVU 0+0 = 0 1+0 = 1 1+1 = 0, prijenos 1 1+1+1 = 1, prijenos 1 1+1+1+1 = 0, prijenos 10 Primjeri: 10001101 + 1010000 110 1 11 01

1 11 1 0 1

1 1 1 11 0 0 1

10111001 + 1111001 10 01 1 0010

111 10110 1011 + 1 0 1000

ZAPIS BROJA S PREDZNAKOM Zapis broja bez predznaka:

8 – bitna riječ 28-1 = 255 LSB od 0 do 255 MSB Zapis broja sa predznakom: 8 – bitna riječ s predznakom od -27 do 27-1 predznak bit magnituda tj. od -128 do 127

predznak bit =“1“ označava negativan broj (-) predznak bit =“0“ označava pozitivan broj (+) Ako je predznak bit =“1“ magnituda u zapisu dvojnog 10101111 komplementa, npr.

01010000 + 1 01010001

komplement dvojni komplement

Pretvorba binarnog broja s predznakom u dekadski broj s predznakom KORAK1. Odvojiti predznak bit od magnitude; ako je predznak bit = 1 dekadski broj će biti negativan; ako je predznak bit = 0 dekadski broj će biti pozitivan) KORAK2. a) Ako je predznak bit = 1 napraviti dvojni komplement od magnitude b) Ako je predznak bit = 0 prijeći na korak3 KORAK3. Pretvoriti binarni broj iz koraka2 u dekadski broj. KORAK4. Dopisati odgovarajući predznak

BIN s predznakom

DEC s predznakom

Zad11. Pretvoriti 8-bitni binarni broj s predznakom 10000000 u dekadski 10000000 1111111 + 1 10000000

-27 = -12810

1)Odvojiti predznak bit 2) Predznak bit = 1 → napraviti dvojni komplement 3) Pretvoriti u dekadski ekvivalent 4) Dopisati predznak “-”

BIN s predznakom

DEC s predznakom

Zad12. Pretvoriti 8-bitni binarni broj s predznakom 11111111 u dekadski 11111111 0000000 1 + 0000001

-110

1)Odvojiti predznak bit 2) Predznak bit = 1 → napraviti dvojni komplement 3) Pretvoriti u dekadski ekvivalent 4) Dopisati predznak “-”

BIN s predznakom

DEC s predznakom

Zad13. Pretvoriti 8-bitni binarni broj s predznakom 11111000 u dekadski 11111000 0000111 + 1 0001000

-810

Provjera: 11111000 -8 + 8 + 00001000 = 0 = 100000000

BIN s predznakom

DEC s predznakom

Zad11. Pretvoriti 16-bitni binarni broj s predznakom 10000010 u dekadski 0000000010000010 10000010

21+27 = 13010

1)Odvojiti predznak bit 2) Predznak bit = 0 → prijeći na korak 3 3) Pretvoriti u dekadski ekvivalent 4) Predznak “+”

Pretvorba dekadskog broja s predznakom u binarni broj s predznakom KORAK1. Odvojiti predznak dekadskog broja od njegove apsolutne vrijednosti; ako je dekadski broj negativan, predznak bit će biti 1; ako je dekadski broj pozitivan, predznak bit će biti 0 KORAK2. Pretvoriti apsolutnu vrijednost dekadskog broja u binarni broj bez predznaka. KORAK3. a) Ako je predznak dekadskog broja iz koraka1 “-” napraviti dvojni komplement od dobivenog binarnog broja iz koraka2 b) Ako je predznak “+” prijeći na korak4 KORAK4. Provjeriti predznak bit

DEC s predznakom

BIN s predznakom

Zad14. Pretvoriti dekadski broj –35 u 8-bitni binarni broj s predznakom 1)Odvojiti predznak 2) Pretvoriti 3510 u binarni 3) Napraviti dvojni komplement 4) Provjeriti predznak bit = 1 35:2 = 17 17:2 = 8 8:2 = 4 4:2 = 2 2:2 = 1 1:2 = 0

1 1 0 0 0 1

00 1 0 0 0 1 1 11011100 +

1 11011101

-3510= 110111012

ODUZIMANJE U BINARNOM BROJEVNOM SUSTAVU Oduzimanje = zbrajanje sa dvojnim komplementom Primjer: Izračunati razlike 8-bitnih binarnih brojeva s predznakom 1001101 - 110000 i 110000 - 1001101

0 1 0 0 1 1 0 1 7710

- 0 1 0 0 1 1 0 1 7710 00110000

= 1 1 1 0 0 0 1 1 -2910 Provjera

01001101

=1 0 0 0 1 1 1 0 1 2910

4810

10110010 + 1

- 0 0 1 1 0 0 0 0 4810 11001111 + 1

00 1 1 0 0 0 0

00011100 + 1 = 00011101

2910

KODOVI Binarni

Alfanumerički -EBCDIC kod -ASCII kod (@ g A = ! ...)

TEŽINSKI KODOVI

NETEŽINSKI KODOVI

- BCD kod (8421 kod)

-XS-3 kod -GRAYev kod

BINARNI KODOVI Dekadska znamenka

BCD kod

XS-3 kod

AIKEN kod

Grayev kod

0

0000

0011

0000

0000

1

0001

0100

0001

0001

2

0010

0101

0010

0011

3

0011

0110

0011

0010

4

0100

0111

0100

0110

5

0101

1000

1011

0111

6

0110

1001

1100

0101

7

0111

1010

1101

0100

8

1000

1011

1110

1100

9

1001

1100

1111

1101

10

0001 0000

0100 0011

0001 0000

1111

11

0001 0001

0100 0100

0001 0001

1110

12

0001 0010

0100 0101

0001 0010

1010

13

0001 0011

0100 0110

0001 0011

1011

14

0001 0100

0100 0111

0001 0100

1001

15

0001 0101

0100 1000

0001 1011

1000

DEC

BCD

Zad15. Kodirati dekadski broj 150 u BCD kod.

150 0001 0101 0000 15010 = 000101010000BCD

BCD

DEC

Zad16. Dekodirati broj 10010110 iz BCD koda u dekadski broj. 10010110 96 10010110BCD = 9610

razlomljeni DEC

BCD

Zad17. Kodirati dekadski broj 32.84 u BCD kod.

32.84 0011 0010 . 1000 0100 32.8410 = 00110010.10000100BCD

razlomljeni BCD

DEC

Zad18. Dekodirati broj 1110001.00001000 iz BCD koda u dekadski broj. 01110001.00001000 7 1.0 8 01110001.00001000 BCD = 71.0810

razlomljeni BCD

BIN

Zad19. Dekodirati broj 000100000011.0101 iz BCD koda u binarni broj. BCD

DEC

BIN

103:2 = 51 51:2 = 25 0001 0000 0011.0101 25:2 = 12 12:2 = 6 1 0 3.5 6:2 = 3 0001 0000 0011.0101 BCD = 103.510 3:2 = 1 1:2 = 0 0.5 · 2 = 1.00

1 1 1 0 0 1 1 1

0001 0000 0011.0101 BCD = 1100111.12

BIN

BCD

Zad20. Kodirati binarni broj 10001010.101 u BCD kod. 7 6 5 4 3 2 1 0 -1 -2 -3

10001010.101 1·27 = 128 1·23 = 8 1·21 = 2 1·2-1 = 0.5 1·2-3 = 0.125 + = 138.62510

138.625 0001 0011 1000.0110 0010 0101

10001010.1012 =0001 0011 1000.0110 0010 0101BCD

DEC

XS-3

Zad21. Kodirati dekadski broj 62 u XS-3 kod. 62 6 +3 9

2 +3 5

1001 0101 6210 = 1001 0101XS-3

BCD

XS-3

Zad22. Dekodirati broj 1000000 iz BCD koda u XS-3 kod. 0100 0000 0100 +0011 0111

0000 +0011 0011

0111 0011 1000000BCD = 01110011XS-3

XS-3

DEC

Zad23. Dekodirati broj 10001100 iz XS-3 koda u dekadski broj. 1000 1100 Oduzeti 0011 isto je što i zbrojiti dvojni komplement od 0011

0011 1100 1 + 1101

1000 - 0011 1000 + 1101 1 0101

1100 - 0011 1100 + 1101 1 1001 5 9

10001100 XS-3 = 5910

BIN

GRAY

Zad24. Kodirati binarni broj 10110 u Grayev kod. 1

0

1

1

1 0 1 1 0 zbroj

1 1

zbroj

zbroj zbroj

1

0

1

101102 = 11101GRAY

GRAY

BIN

Zad25. Dekodirati broj 011011 iz Grayevog koda u binarni broj.

0

0

0

1

0

0

1

1

1

0

1

1

zbroj

zbroj

zbroj

zbroj

zbroj

1

0

0

1

0

011011GRAY = 0100102

OSNOVNI LOGIČKI SKLOPOVI Naziv NE (NOT) I (AND) ILI (OR)

Logička funkcija

Simbol A

A

Y

Y

B

A B

Y

Tablica kombinacija A Y

Y =A

Y = A·B

Y = A+B

0

1

1

0

A 0 0 1 1

B 0 1 0 1

Y 0 0 0 1

A 0 0 1 1

B 0 1 0 1

Y 0 1 1 1

Naziv

NI (NAND) NILI (NOR) Isključivo ILI (EXOR) Isključivo NILI, ekvivalencija (EXNOR)

Logička funkcija

Simbol A

Y

Y = A·B

B

A

Y

Y = A↓B Y = A+B

B

A

Y = A↑B

Y

Y=A B

B

Y = AB+AB

A

Y=A B

B

Y

Y = AB+AB

Tablica kombinacija A 0 0 1 1 A 0 0 1 1

B 0 1 0 1 B 0 1 0 1

Y 1 1 1 0 Y 1 0 0 0

A 0 0 1 1

B 0 1 0 1

Y 0 1 1 0

A 0 0 1 1

B 0 1 0 1

Y 1 0 0 1

Zad1. Nacrtati vremenski oblik izlaznog signala ILI sklopa uz nacrtane ulazne signale 0 1 0 1 0 1 0 1 0 A A “1” B “0” t 0 0 0 1 1 1 0 0 1 A B “1” B 0 0 “0” 0 1 t 1 0 “1” “0”

0 1 0 1 1

1 1

1 0 1 1

Y=? t

Y

Y 0 1 1 1

Zad2. Napisati tablicu kombinacija za sklop na slici NE 0 1 1 0

0 0 1 1

A

0 0

1 10

B

0 1

1

C

0 0

0

0 0 0

0 1 0 1

I 0 0 0 1

0 0 1 1

ILI 0 1 1 1

0 1 0 1

0 1 0 1 0 0

Y

A 0 0 0 0 1 1 1 1

BC 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1

Y 0 0 1 1 0 1 0 1

Zad3. Napisati tablicu kombinacija za sklop na slici A BC Y I 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 1 0 0 0 0 1 1 1 A01 1 0 1 0 1 1 0 0 1 1 0 0 B 01 1 0 1 1 00 1 1 1 1 10 1 1 0 1 C01 1 1 1 1 00 11

10

0 0 11

10

10

Y

AKSIOMI I TEOREMI BOOLOVE ALGEBRE A1) neutralni element A+0=A A·1=A A2) komplement A+A=1 A·A=0 A3)

komutativnost

A+B = B+A A·B = B·A A4) distributivnost A·(B+C) = A·B+A·C A+B·C = (A+B)·(A+C)

T1)

A+1 = 1 ;

A·0 = 0

T2)

A+A = A ;

A·A = A

T3)

A=A

T4)

(A+B)+C = A+(B+C) ; A·(B·C) = (A·B)·C

T5)

de Morganov teorem A+B=A·B A·B=A+B A+B+C+…= A·B·C·… A·B·C ·…= A+B+C+…

Zad4. Dokazati da sklop na slici obavlja logičku funkciju ILI A C Y = CD+CD = (A B)·(AB)+(A B)·(AB)=

B

Y

A B

= AB+AB ·AB + (AB+AB) ·AB =

D

= AB · AB · AB + (AB+AB) ·(A+B) = = (A+B)·(A+B)·AB + (AAB+AAB+ABB+ABB) = =A

=B

=A

=0

=0

=B

= (AA+AB+AB+BB)·AB + (AB+AB) = =0

=0

= AB·AB+AABB + AB+AB = = AB

=0 =0

= AB+AB+AB = AB+AB+AB+AB = = A(B+B)+(A+A)B = A+B =1

=1

Provjera: A 0 0 1 1

B A B 0 0 1 1 0 1 1 0

AB 0 0 0 1

Y 0 1 1 1

A B C

Zad5. Napisati logički izraz sklopa iz zadatka 3 te ga pojednostaviti koristeći Booleovu algebru A·B·C

A·B·C·A·C

C A·B·C·A·C A A·C

Y B

A·B A·B·C·A·C + A·B

Y= A·B·C·A·C + A·B = A·A·B·C·C + A·B = 0·B·0+A·B = = 0 +A·B = 1 +A·B = 1

Zad6. Napisati logički izraz sklopa prema zadanoj tablici stanja 1.Rješenje: Y = A·B·C·D + A·B·C·D + A·B·C·D + + A·B·C·D + A·B·C·D + A·B·C·D + + A·B·C·D + A·B·C·D + A·B·C·D 2.Rješenje: Y = (A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 0

Zad7. Ostvariti logičku funkciju ILI pomoću logičkih funkcija NE i I A+B = A+B = A·B

ILI

A B

A

B

A+B A+B

A+B

Logička funkcija ILI izvedena drugim funkcijama dviju varijabli A

A+B

A

B

ILI = f (I, NE) A B

A+B A B

B

A+B

ILI = f (NILI) A B

A+B

ILI = f (EXNILI, I)

ILI = f (NI) A B

A+B

A B

ILI = f (EXILI, I)

Logička funkcija I izvedena drugim funkcijama dviju varijabli A

A·B

B

I = f (ILI, NE) A A·B

B

I = f (NILI)

A B

A·B

I = f (NI)

Logička funkcija NE izvedena drugim funkcijama dviju varijabli

A 1

A

A 0

NE = f (EXILI)

A

A

NE = f (NI)

A

NE = f (EXNILI)

A

A

NE = f (NILI)

AUDITORNE VJEŽBE 3 MINIMIZACIJA LOGIČKIH FUNKCIJA I PRIMJERI KOMBINACIJSKIH LOGIČKIH SKLOPOVA

MINIMIZACIJA LOGIČKIH FUNKCIJA KARNAUGHOVIM TABLICAMA MINTERME -zbroj umnožaka -gledaju se “1” A·B Y = A·B+A·B (neminimizirano) A·B

A 0 0 1 1

B 0 1 0 1

Y 0 1 0 1

A+B A+B

MAKSTERME -umnožak zbrojeva -gledaju se “0” Y = (A+B)·(A+B) (neminimizirano)

Minimizacija primjenom aksioma i teorema Boolove algebre Y = A·B+A·B = (A+A)·B = B Y = (A+B)·(A+B) = A·A+A·B+B·A+B·B= (A+A)·B+B = B+B = B Minimizacija Karnoughovim tablicama (K-tablica) A A A A Preko mintermi Preko makstermi B 0 0 B 1 1 B

Y=B

B

Y=B

Zad1. Minimizirati logički izraz zadan tablicom kombinacija pomoću makstermi A 0 0 0 0 1 1 1 1

BC 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1

Y 0 1 1 1 0 1 0 1

A+B+C

1C

A+B+C

1C

0C 0

kombinacije se trebaju razlikovati u jednom bitu

0

0

Y = (A+C)·(B+C)

A+B+C

A B 0 0 1 1 0 1 1 0 Pogrešno! C A+B A+B A+B A+B Susjedne 0C

Prvi način: AB 11 10 00 01 A+B A+B A+B A+B C

Drugi način: AB 00 01 11 10 C A+B A+B A+B A+B 0C 0 1C

0

0

Zad2. Minimizirati logički izraz zadan tablicom kombinacija pomoću mintermi A 0 0 0 0 1 1 1 1

BC 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1

Prvi način:

Y 0 1 1 1 0 1 0 1

Drugi način:

A·B·C A·B·C A·B·C

1 A

0 A

1 1 C·B 1

1

0 1 C·B

1

CB

A·B·C

0 0 C·B

A·B·C

AB 00 01 11 10 A·B A·B A·B A·B C

1 0 C·B 1

1

1

1

1

1

Y = C + A·B

1

0 C 1 C

A

Y = C + A·B

Zad3. Minimizirati logički izraz zadan tablicom kombinacija pomoću mintermi CD 00 01 11 10 AB C·D C·D C·D C·D 1 1 A·B

1

1

1

1

0 1 A·B 1 0 0 A·B 1 1 0 A·B

Y = A·C + A·C·D

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 1 0 0 0 1 0 0 0 0 0 1 1 0 0 1 1

Zad4. Prema tablici stanja napisati logičku funkciju u obliku makstermi i minimizirani oblik funkcije dobiven K-tablicom Y = (A+B+C+D)·(A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)·(A+B+C+D)·(A+B+C+D) CD 11 10 00 01 AB C+D C+D C+D C+D 0 0 A+B

0 0

1 0 A+B 1 1 A+B 0 1 A+B

0

0 0

0

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y = (A+B+C+D)·(A+B+C)·(A+C+D)· (A+B+C+D)·(A+B+C+D)

Y 1 1 1 0 1 1 0 1 0 0 1 1 0 1 1 0

Zad5. Realizirati sklop koji otkriva pojavu 4-bitnih kodnih riječi XS-3 koda CD 00 01 11 10 AB C·D C·D C·D C·D 1 1 A·B

1

0 1 A·B

1

1

1

1

0 0 A·B 1 0 A·B

1

1

1

1

1

Y = A·B + A·B + B·C·D + B·C·D

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0

Zad6. Projektirati logički sklop koji otkriva A BC pojavu broja 9 zapisanog u BCD kodu. 0 0 0 Realizirati traženi sklop koristeći minimalan 0 0 0 broj osnovnih logičkih sklopova. 0 0 1 x- kombinacije koje se ne pojavljuju u BCD kodu

CD 00 01 11 10 AB C·D C·D C·D C·D 1 1 A·B

x 0

x 1

x 1

x 0

1

x 1

x 0

0 1 A·B 0 0 A·B 1 0 A·B

Y = A·D

Svaka kombinacije označena sa x u K-tablici može se proizvoljno postaviti bilo kao 0 bilo kao 1 ovisno koji odabir daje manju logičku funkciju

0 0 0 0 0 1 1 1 1 1 1 1 1

0 1 1 1 1 0 0 0 0 1 1 1 1

1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 0 0 0 0 0 0 0 0 1 x x x x x x

Zad7. Napisati logički izraz sklopa prema zadanoj tablici kombinacija pomoću a) mintermi; b)makstermi a) pomoću mintermi Y = A·B·C·D + A·B·C·D + A·B·C·D + + A·B·C·D + A·B·C·D + A·B·C·D + + A·B·C·D + A·B·C·D + A·B·C·D Y(A,B,C,D) = Σ(1,2,6,7,8,10,11,13,14) b) pomoću makstermi Y = (A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)·(A+B+C+D)· ·(A+B+C+D)·(A+B+C+D)··(A+B+C+D) Y(A,B,C,D) = Π(0,3,4,5,9,12,15)

R.br. komb. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 0

MINIMIZACIJA LOG. FUNKCIJA Quine-McCluskey METODOM

R.br. komb. A

1. grupiranje prema broju jedinica u A B C D 2. usporedba (traženje razlika u jednom bitu) 3. “primarni članovi” (bez “ “) 1 2 8 6 10 7 11 13 14

A B CD 0 0 01 0 0 10 1 0 00 0 1 10 1 0 10 0 1 11 1 0 11 1 1 01 1 1 10

(2+6): (2+10): (8+10): (6+7): (6+14): (10+11): (10+14):

A B CD 0 - 10 - 0 10 10- 0 0 1 1- 1 10 1 0 11 - 10

(2+6+10+14): (2+10+6+14):

A B CD - - 10 - - 10

Y = A·B·C·D+ A·B·C·D + A·B·D + A·B·C + A·B·C + C·D

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 0

R.br. komb. A

Quine-McCluskey - nastavak

M I N T E R M E A·B·C·D A·B·C·D A·B·C·D A·B·C·D A·B·C·D A·B·C·D A·B·C·D A·B·C·D A·B·C·D 2 6 10 11 13 14 7 8 Prim.čl. 1

A·B·C·D x A·B·C·D A·B·D A·B·C A·B·C C·D

1 2

BC D Y

0 0 0 1 1 0 0 1 0 1

x x x x

x

x

6 7

x x x

x x

8 10

0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 0 1 1 1

11 4. popuniti tablicu sa “x” 5. pronaći “bitne primarne članove” 6. označiti minterme sadržane u “bitnim prim.čl.” 13 1 1 0 1 1 7. ako ima mintermi koje su preostale neuključene 14 1 1 1 0 1 (minterme bez “ “) Æ odabrati minimalni broj prim.čl. da ih pokriju

Y = A·B·C·D + A·B·C·D + A·B·D + A·B·C + A·B·C + C·D

PRIMJERI KOMBINACIJSKIH LOGIČKIH SKLOPOVA - Poluzbrajalo - Potpuno zbrajalo - Četverobitno zbrajalo - Generator paritetnog bita - Detektor pogreške u prijenosu - Multipleksor - Demultipleksor -…

Zad8. Pomoću osnovnih logičkih sklopova realizirati poluzbrajalo za dva jednobitna binarna broja.

A B

A B

HA (Half adder)

S C

S

A, B – ulazni bitovi S – zbroj bit C – prijenosni bit

C

0+0 = 0 1+0 = 1 1+1 = 0, prijenos 1

A 0 0 1 1

B 0 1 0 1

SC 0 0 1 0 1 0 0 1

S=A B C = A·B

Zad9. Pomoću poluzbrajala realizirati potpuno zbrajalo za dva jednobitna binarna broja i prijenosni bit. A B CHA SHA 0 0 0 0 1 0 1 0 0 1 1 1 Cin CHA SHA 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 1 1 0 Cout 0 0 1 x 0 1 1 x

CHA 1 0 SHA + 0 Cin 10 Cout S

S SHA

A

HA

B

CHA

Cout

S Cin 0 Cin 1 Cout S 0 SHA S HA S A Cin CHA 1 0 x 1 0 B 1 FA Cout Cin0CHA 00 1 0 Cin 0 01 x 1 x 1 01 (Full adder) 11 x 1 x x 1 11 01 10 00 10 1 1 + 1 + 1 + 1 10 01

10

...

11

Cout=CHA+CinSHA

S=CinSHA+CinSHA=Cin SHA

Zad10. Pomoću sklopa iz prethodnog zadatka realizirati paralelno zbrajalo za dva četverobitna binarna broja. Cin0 A0 B0 Cin1 A1 B1

Cin2 A2 B2

Cin3 A3 B3 A3A2A1A0 B3B2B1B0 1 0 0 1 0 0 1 1

S0

FA

0 0 1 1

1001 + 0011 110 0

Cout0 S1

FA

Cout1

S2

FA

Cout2

S3

FA

Cout3

S3S2S1S0 1 1 0 0

Cout A B S

Zad11. Projektirati generator paritetnog bita s 4 ulaza koristeći EXILI logičke sklopove. Px = 0 Px = 1 Px = 0 Px = 1

Ako je P = 0 Ako je P = 1 A 0 0 1 1

B 0 1 0 1

A B

0 1 1 0

ako je na ulazima A,B,C,D paran broj jedinica ako je na ulazima A,B,C,D neparan broj jedinica ako je na ulazima A,B,C,D neparan broj jedinica ako je na ulazima A,B,C,D paran broj jedinica

C (A B) C D ((A B) C) D P (((A B) C) D) P 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 0 1 A B C D P

Px

Zad12. Skicirati shemu sklopa paritetnog bita iz prethodnog zadatka pomoću integriranog logičkog sklopa 7486. Stanje izlaznog paritetnog bita treba signalizirati svijetlećom (LED) diodom.

1

VCC 14

2

13

3

12

4

11

5

10

6

9

7 GND

8

330 Ω LED

Primjena generatora paritetnog bita u prijenosu digitalnih podatka.

Predajnik digitalnog signala

A B C D

Prijemnik digitalnog signala Prijenosni vod

P

Generator paritetnog bita

Detektor greške

ALARM

Hamingov kod za detekciju i ispravljanje greške

D7 D6 D3

D3 D5 D7

Prijenosni vod P4

0 0

P2

1 1

P1

0 0

1 0 1

D7 D6 D5

1

D3

Sklop za korekciju greške

Prijemnik digitalnog signala

Indikator pogrešnog bita D7 D6 D5 P4 D7 D6 D3 P2 D3 D5 D7 P1

Generator paritetnog bita

D5

Generator paritetnog bita

D7 D6

1 0 0 0 0 1 0

Generator paritetnog bita

0 0

D7 D6 D5 P4 D3 P2 P1

Generator paritetnog bita

D3

Generator paritetnog bita

1 1 0 0 1 1

Generator paritetnog bita

Predajnik digitalnog signala

D7 D6 D5

PX4

1 0

PX2

0 1

PX1

1 1

101→D5 011→D3

Zad13. Pomoću osnovnih logičkih sklopova realizirati dvoulazni multipleksor. A X X X Multipleksor je digitalni sklop sa više ulaznih linija (X0 do Xn-1) i samo jednim izlazom (X). U danom trenutku samo se jedan ulaz prenosi na izlaz. Ulaz koji se prenosi na izlaz izabran je pomoću odvojenih adresnih ulaznih bitova.

Ako je A = 0 onda treba biti X = X0 Ako je A = 1 onda treba biti X = X1

Ulazne linije

A - adresni bit

X0

X1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

X A X0 X1

X

0

1

0 1 0 1 0 1 0 1

0 0 1 1 0 1 0 1

0 1

00 01 11 1 10 1

1 1

X=X1A+X0A

Zad14. Pomoću osnovnih logičkih sklopova realizirati četveroulazni multipleksor. A1 A0 X= A= A= A= A=

Adresne linije

Ulazne linije

A1 A0

X0

A0 A1 X0

X1

A0 A1 X1

X2

A0 A1 X2

X3

A0 A1 X3

0 0 1 1

0 1 0 1

→ → → →

X = X0 X = X1 X = X2 X = X3

X0A0A1+ X1A0A1+ X2A0A1+ X3A0A1

X

Zad15. Koliko je adresnih linija potrebno za adresiranje 100 bitnog podatka? 2k > 100 k=7

Zad16. Pomoću osnovnih logičkih sklopova realizirati 1-na-2 demultipleksor. A X X X Demultipleksor je digitalni sklop sa jednom ulaznom linijom (X) i više izlaznih linija (X0 do Xn-1). Ulaz se u danom trenutku prenosi na samo jedan od izlaza. Izlaz na koji se prenosi ulazni podatak izabran je pomoću odvojenih adresnih bitova. Ako A = 0 onda X0= Xin , X1= 0 Ako A = 1 onda X0= 0 , X1= Xin

in

0 0 1 1

0 1 0 1

0

0 1 0 0

X0 = AX X1 = AX

0 0 0 1

1

Zad17. Pomoću osnovnih logičkih sklopova realizirati 2-na-4 demultipleksor. A A A= A= A= A=

Adresne linije

A1 A0

X

A0 A1 X

X0

A0 A1 X

X1

A0 A1 X

X2

A0 A1 X

X3

1

0 0 1 1

0 1 0 1

0

→ → → →

X0 = X1 = X2 = X3 =

X X X X

X0 = XA0A1 X1 = XA0A1 X2 = XA0A1 X3 = XA0A1

AUDITORNE VJEŽBE 4 SEKVENCIJALNI SKLOPOVI - Bistabili (SR-bistabil, JK-bistabil, T-bistabil, D-bistabil) - Projektiranje sekvencijalnih sklopova

SEKVENCIJALNI MODULI - Registri - Brojila

LOGIČKI SKLOPOVI Kombinacijski

Sekvencijalni

-imaju svojstva pamćenja (izlaz postoji i kada ulaza nema) -imaju “povratnu vezu” Primjeri: -izlaz može ovisiti, osim o ulazu, -osnovni logički sklopovi i o prethodnom stanju izlaza -koderi, dekoderi Primjeri: -multipleksori, demultipleksori -bistabili -aritmetičko-logički sklopovi -registri -... -brojila -...

-nemaju svojstvo pamćenja -izlaz ovisi isključivo o ulazu

SR-BISTABIL

0 0 1 1

S (SET) – postavlja izlaz Q u “1” R (RESET) – postavlja izlaz Q u “1”

0 1 0 1

NI 1 1 1 0

S t

R Q S R Q 0 0 ili 0 ili 1, ovisno o

prethodnom stanju

0 1 1 0 1 1

0 1 1

1 0 1

t

Q ?

t

Q

ZABRANJENA KOMBINACIJA!

? t ZABRANJENO

NEPOZNATO

Sinkroni SR-bistabil:

CP (TAKT ili CLOCK): n+2 … n-2 n n-1 n+1

CP = 0 → Qn+1 = Qn (S i R ne djeluju na izlaz) CP = 1 → izlaz Qn+1 ovisi o ulazima S i R te o stanju izlaza Q u prethodnom periodu (Qn)

S 0 0 0 0 1 1 1 1

R Qn Qn+1 0 0 0 0 1 1 1 0 0 1 1 0 0 0 1 0 1 1 1 0 ZABR. 1 1 ZABR.

TABLICA STANJA

S 0 0 1 1

JEDNADŽBA STANJA Qn+1= S + RQn

Qn+1 Qn 0 1 ZABR.

TABLICA UZBUDE

Qn Qn+1 0 0 0 1 1 0 1 1

Qn+1 Q n SR

0 1 1 00 01 11 x x 10 1 1

R 0 1 0 1

S R 0 1 0 x

x 0 1 0

DIJAGRAM STANJA 00

?11

01

0

10 01

10 00

1

1 1?

JK-BISTABIL

1→1 0 0

0 0 1 1

0 1 0 1

1 0→0

Qn→Qn+1

K Qn Qn+1 0 0 0 0 1 1 1 0 0 1 1 0

J 0 0 0 0

0→0

0→0

0→0 0

0→0

1→1

0

0→0 1→1 0

I 0 0 0 1

S 0 0 1 1

R 0 1 0 1

Qn+1 Qn 0 1 ZABR.

0→0

1→1

0→0

0→0

0→0

1→0

1→0

0→1

1→0

0→0

0→0

0→1 0

0→0

1→1

1 1→0

J K Qn+1 0 0 1 1 1→0 1 0

0 1 0 1

Qn 0 1 Qn

J 1 1 1 1

Qn→Qn+1

K Qn Qn+1 0 0 1 0 1 1 1 0 1 1 1 0

1 0→1

K Qn Qn+1 0 0 0 0 1 1 1 0 0 1 1 0

J 0 0 0 0

1→0

0→1

0→0 1

0→0

1→0

0

0→1 1→0 1

Qn→Qn+1

S 0 0 1 1

R 0 1 0 1

Qn+1 Qn 0 1 ZABR.

0→0

1→1

0→0

0→0

0→1

1→0

1→0

0→1

1→0

1→0

0→1

0→1 1

0→1

1→0

1 1→0

J K Qn+1 0 0 1 1

0 1 0 1

Qn 0 1 Qn

J 1 1 1 1

Qn→Qn+1

K Qn Qn+1 0 0 1 0 1 1 1 0 1 1 1 0

J 0 0 0 0

TABLICA STANJA Qn+1

Qn JK

0 1 1 00 01 11 1 10 1 1

JEDNADŽBA STANJA Qn+1= JQn+KQn

J 0 0 0 0 1 1 1 1

K Qn 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1

Qn+1 0 1 0 0 1 1 1 0

Qn→Qn+1

K Qn Qn+1 0 0 0 0 1 1 1 0 0 1 1 0 TABLICA UZBUDE

Qn 0 0 1 1

Qn+1 0 1 0 1

J 0 1 x x

K x x 1 0

DIJAGRAM STANJA 00

01

0

10 11 01 11

10 00

1

J K Qn+1

T-BISTABIL

0 0 1 1

=

0 1 0 1

T Qn+1

Qn 0 1 Qn

0 1

Qn Qn

TABLICA UZBUDE Qn+1 T

Qn

0 1 0 1 1 1

JEDNADŽBA STANJA: Qn+1= TQn+TQn

Qn Qn+1 0 0 0 1 1 0 1 1

TABLICA STANJA

T Qn Qn+1 0 0 1 1

0 1 0 1

0 1 1 0

T 0 1 1 0

DIJAGRAM STANJA 0

1

0 1

0

1

J K Qn+1

D-BISTABIL

0 0 1 1

=

0 1 0 1

D Qn+1

Qn 0 1 Qn

0 1

0 1

TABLICA UZBUDE Qn+1 D

Qn

Qn Qn+1 0 0 0 1 1 0 1 1

TABLICA STANJA

0 1

0 1 1

1

JEDNADŽBA STANJA Qn+1= D

D Qn Qn+1 0 0 1 1

0 1 0 1

0 0 1 1

D 0 1 0 1

DIJAGRAM STANJA 0

1

0 0

1

1

Zad1. D-bistabilima i NI sklopovima realizirati T-bistabil T Qn Qn+1 0 0 1 1

0 1 0 1

0 1 1 0

Qn+1= TQn+TQn

D Qn Qn+1 0 0 1 1

0 1 0 1

0 0 1 1

Qn+1= D

D = Qn+1 = TQn+TQn = TQn+TQn = TQn · TQn

D = f(T,Qn) = ?

Zad2.Projektirati sekvencijalni sklop zadan dijagramom Trenutno Slijedeće stanja. 0/0 stanje stanje Ulaz Izlaz 00 1/0 0/1 B B A B B z 1/0

1/1

01

10

A/z = 1/0

0/1

11 0/0

B1B0 A/z

1

0 0 0 0 1 1 1 1

- 1 ulaz (A) - 1 izlaz (z) - 2 bistabila: B1 i B0

0

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

1

0 1 0 0 1 1 1 0

0 0 0 1 1 0 1 1

0

0 0 1 0 1 1 0 0

Zad2. Rješenje Trenutno Slijedeće stanje stanje Ulaz Izlaz

B1 0 0 0 0 1 1 1 1

TABLICA UZBUDE JK-bistabila

Qn Qn+1 0 0 0 1 1 0 1 1 J0

A

B1B0

0 1

00 01 x 11 x 10 1

J 0 1 x x K0

K x x 1 0 A

0 1 B1B0 00 x x x 01 1 x 11 10 x x

J1

A

B0 0 0 1 1 0 0 1 1

0 1 1 00 01 11 x x 10 x x

B1B0

A 0 1 0 1 0 1 0 1

B1 0 1 0 0 1 1 1 0

K1

A

B0 0 0 0 1 1 0 1 1

z 0 0 1 0 1 1 0 0

0 1 00 x x 01 x x 1 11

B1B0

10

J0 0 0 x x 1 0 x x

K0 x x 1 0 x x 0 0

z A B1B0

J1 0 1 0 0 x x x x

K1 x x x x 0 0 0 1

0 1

00 01 1 11 10 1

1

Zad2. Rješenje J0 = B1A K0 = B1A J1 = B0A K1 = B0A z = B1B0 + B1B0A

J0

A

B1B0

0 1

00 01 x 11 x 10 1

K0

A

0 1 B1B0 00 x x x 01 1 x 11 10 x x

J1

A

0 1 1 00 01 11 x x 10 x x

B1B0

K1

A

0 1 00 x x 01 x x 1 11

B1B0

10

z A B1B0

0 1

00 01 1 11 10 1

1

Zad2. Rješenje J0 = B1A K0 = B1A J1 = B0A K1 = B0A z = B1B0 + B1B0A

Zad3. Dijagramom stanja zadan je sekvencijalni sklop sa ulazom A i izlazom z. U sekvencijalnom sklopu bistabil B0 je tipa D, a bistabil B1 tipa JK. Napisati tablice stanja, jednadžbe stanja i prikazati logičku shemu sklopa. Trenutno Slijedeće Ulaz

0/0

1/0

00

0/0

B1B0 A/z

11

1/1

01

0/1 1/0 1/1

10 0/1

- 1 ulaz (A) - 1 izlaz (z) - 2 bistabila: B0 i B1

B1 0 0 0 0 1 1 1 1

B0 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1

Izlaz

B1 0 1 0 1 1 0 1 0

Qn Qn+1 0 0 0 1 1 0 1 1

J 0 1 x x

B0 0 1 1 1 0 1 0 0 K x x 1 0

z 0 0 0 0 1 1 1 1

J1 0 1 0 1 x x x x

K1 x x x x 0 1 0 1

Qn Qn+1 0 0 0 1 1 0 1 1

D 0 1 0 1

D0 0 1 1 1 0 1 0 0

Zad3. Rješenje J1

A

B1B0

00 01 11 10 D0

A

0 1 1 1 x x x x

0 1 B1B0 1 00 01 1 1 11 1 10

K1

A

0 1 00 x x 01 x x 1 11 1 10

B1B0

z

A

B1B0

0 1

00 01 11 1 10 1

1 1

Trenutno Slijedeće Ulaz Izlaz

B1 0 0 0 0 1 1 1 1

B0 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1

B1 0 1 0 1 1 0 1 0

B0 0 1 1 1 0 1 0 0

z 0 0 0 0 1 1 1 1

J1 0 1 0 1 x x x x

K1 x x x x 0 1 0 1

J1 = A

D0 = B0A+ B1B0

K1 = A

z = B1

D0 0 1 1 1 0 1 0 0

Zad3. Rješenje

J1 = A

D0 = B0A+ B1B0

K1 = A

z = B1

REGISTRI - niz bistabila u kojima se pamti digitalna riječ Primjer: 2-bitni registar s paralelnim ulazom: a0

a1

S

Q

R

Q

S

Q

R

Q

B0

B1

L

L =1 → bitovi a1 i a2 se upisuju u registar L =0 → upis u registar onemogućen, registar pamti prethodno stanje

Zad4. Na serijski ulaz 3-bitnog CP serijski posmačnog registra sa D impuls ulaz bistabilima dovodi se niz bitova 1 1 1,0,1,1,0,0,0,… Registar je 2 0 prethodno obrisan. Odredite bit 1 3 na serijskom izlazu i digitalnu 4 1 riječ na paralelnom izlazu 5 0 nakon svakog CP impulsa. 6 0 7 0 POSMAČNI REGISTAR Trobitni posmačni registar sa D bistabilima: Paralelni izlaz Serijski ulaz

paralelni izlaz

B2 0 1 0 1 1 0 0 0

serijski B1 B0 izlaz

0 0 1 0 1 1 0 0

0 0 0 1 0 1 1 0

0 0 1 0 1 1 0

Serijski izlaz

Brisanje (sa “0”)

Zad5. Realizirati 3-bitni posmačni registar sa JK-bistabilima

Bistabli mijenjaju stanje na rastući brid CP impulsa ILI Bistabli mijenjaju stanje na padajući brid CP impulsa

Povezivanjem n bistabila u niz tako da se BROJILA izlaz iz prethodnog dovede na CP ulaz idućeg može se ostvariti brojilo do 2n ASINKRONO BROJILO Primjer: Asinkrono brojilo do 4 sa T bistabilima: Početna stanja na izlazima bistabila Q1 i Q2 = 0, 0

1 0 0 1

T Qn+1 0 Qn 1 Qn 00

01

10

11

00

Isti sklop je ujedno i djelitelj frekvencije sa 2 (izlaz Q1) ili sa 4 (izlaz Q2)

Kod sinkronih brojila na sve CP ulaze u bistabile dovodi se isti signal takta

SINKRONO BROJILO

Primjer: Sinkrono brojilo sa T bistabilima: 11 0 1 00 Uz početna stanja (Q2,Q1,Q0)= (0,0,0) 1

2

3

4

Q2 Q1 Q0 0 0 0 0 0 1 0 1 0 0 1 1

5

6

7

1 1 1 1

8

0 0 1 1

9

0 1 0 1

Zad6. Realizirati asinkrono brojilo do 14 (od 0 do 13) sa JK-bistabilima. 2n > 14

n=4

Potrebna su 4 bistabila

Kada je (B3 ,B2,B1,B0) = (1,1,1,0) brojilo se resetira.

Zad7. Realizirati sinkrono brojilo koje broji od 000 do 101 sa JK-bistabilima. Qn Qn+1 0 0 0 1 1 0 1 1

n 0 1 2 3 J2=Q1Q0; K2=Q0 4 J1=Q0Q2; K1=Q0; 5 J0=1; K0=1 J2 Q0 Q2 Q1

J 0 1 x x

0 1

00 01 11 x 10 x

K x x 1 0

K2 0 00

1 x x

01 11 10

x x x

SADAŠNJE SLIJEDEĆE STANJE STANJE

Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0 0 0 0 0 1 1 1 1 1

x x x 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

J1 0

x x

0 0 0 1 1 0 1

1 x x

0 1 1 0 0 0

1 0 1 0 1 0

NEPOSTOJEĆA STANJA

K1 0

x x x

0 0 0 1 x x x x 1

x 1 x x

0 1 x x 0 0 x x

x x x x 0 1 x x J0 0

1 1 x 1

x x 0 1 x x x x 1

x x x x

1 x 1 x 1 x x x

x 1 x 1 x 1 x x

K0 0

x x x x

1

1 1 x 1

Zad7. Rješenje J2=Q1Q0; K2=Q0 J1=Q0Q2; K1=Q0; J0=1; K0=1

0

1

2

Zad8. Realizirati trobitno asinkrono brojilo sa JKbistabilima koje broji unatrag.

CP n B2 B1 0 1 1 1 1 1 2 1 0 3 1 0 4 0 1 5 0 1 6 0 0 7 0 0

B0 1 0 1 0 1 0 1 0

AUDITORNE VJEŽBE 5 GENERATORI IMPULSA - monostabil - astabil

MEMORIJE

MONOSTABIL

Monostabil je digitalni sklop koji ima jedno stabilno i jedno kvazistabilno stanje. Monostabil se normalno nalazi u stabilnom stanju, a pod utjecajem okidnog impulsa sa upravljačkog ulaza odlazi u kvazistabilno stanje. Nakon vremena tw sam se vraća u stabilno stanje.

Q

M

...

Upravljački ulazi za generiranje okidnog impulsa

“1” – kvazistabilno stanje

okidanje na padajući brid okidanje na rastući brid

tw

“0” – stabilno stanje

t

trenutak dovođenja okidnog impulsa

tw= f(R,C) - daje proizvođač

Zad1. Za monostabil 74121 proizvođač navodi raspored pinova, tablicu stanja, granične vrijednosti za R i C te izraz za trajanje impulsa. Prikazati izvedbenu shemu monostabila ako se zahtjeva trajanje impulsa 1µs. Na raspolaganju su C =1 nF, potenciometar 0 – 10 kΩ i tipkalo. ULAZI

Rext >1.4 kΩ Rext < 40 kΩ Cext >10 pF Cext implementacija fizičkog sklopa



VHSIC (Very High Speed Integrated Circuits) - integrirani sklopovi velike brzine



standardiziran kroz IEEE 1076 standard



koristiti se za sintezu i simulaciju sklopova



primjenjuje se u području programabilnih logičkih sklopova (PLD, CPLD i FPGA)



za razliku od standardnih programskih jezika (C/C++, Basic, Pascal) koji su sekvencijalni, VHDL kod je paralelan

VHDL - Tijek razvoja dizajna



Tijek razvoja dizajna 1. pisanje VHDL koda koji se obično pohranjuje u datoteku s ekstenzijom .vhd 2. sinteza 2.1. kompajliranje - pretvorba VHDL jezika više razine u netlistu logičkih sklopova. 2.2. optimizacija – optimizacija ili za veću brzinu sklopa ili za manju veličinu sklopa. • u ovom koraku dizajn se može simulirati 3. "Place & Route" • softverski alat generira izvedbu sklopa za unos u PLD/CPLD/FPGA čip.

VHDL - Osnovne strukture VHDL koda

Primjer Potpuno zbrajalo



VHDL kod za sklop potpuno zbrajalo ENTITY potpuno_zbrajalo IS PORT ( a, b, cin : IN BIT; s, cout : OUT BIT ); END potpuno_zbrajalo; -------------------------------------------------ARCHITECTURE rad_sklopa OF potpuno_zbrajalo IS BEGIN s
View more...

Comments

Copyright ©2017 KUPDF Inc.
SUPPORT KUPDF